Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

8-channel WDM silicon photonics transceiver with SOA and semiconductor mode-locked laser

Open Access Open Access

Abstract

We demonstrate an integrated 8 by 14 Gbps dense wavelength division multiplexed silicon photonics transceiver that makes use of an external mode-locked laser as a light source and a single semiconductor optical amplifier for post-modulation signal amplification. Remaining components necessary for modulation, filtering and (de‑)multiplexing are monolithically integrated in a single chip. In all system experiments, all eight channels are jointly operated with independent data streams in order to include impairments arising out of nonlinear effects inside the SOA while benchmarking the system performance. The transmitter, measured with a commercial reference receiver, supports on-off keying data transmission with an uncorrected BER ranging between 1e-5 and 5e-7 for all channels in back-to-back configuration and between 8e-4 and 1e-5 after 10 km transmission (both PRBS 231-1). The three best channels of the full link consisting in the silicon photonics transmitter operated with the silicon photonics receiver in back-to-back configuration maintain a BER better than the targeted 5e-5. Based on link budget modeling, we expect this target to be reached for all 8 channels pending improvement of the receiver offset compensation loop.

© 2018 Optical Society of America under the terms of the OSA Open Access Publishing Agreement

1. Introduction

Dense Wavelength Division Multiplexing (DWDM) is considered a key element to increase the data throughput of Silicon Photonics (SiP) transceivers. In recent years, there has been a strong focus on the implementation of compact form factor SiP WDM systems for next generation electro-optic datacenter transceivers. Due to the difficulties in maintaining operation on a DWDM grid due to the high thermo-optic coefficient of silicon and to manufacturing variations, much of the more practical WDM work has been focusing on Coarse (C-)WDM [1–5], which is also the approach that has been standardized for short reach datacenter interconnects (DCIs), highlighting the challenges associated to moving to a denser grid. Due to their compactness and their ability to selectively address single carriers transported by a multi-carrier bus waveguide [6], Resonant Ring Modulators (RRMs) have been intensely investigated as modulators in WDM [7,8] or highly parallel optical links [9]. A major challenge associated to DWDM in SiP is the integration of multiple light sources to generate the optical carriers. One of the most pursued solutions consists in using an array of external [10] or heterogeneously integrated [4,5] Distributed Feedback (DFB) lasers. Alternatively, a single semiconductor Mode-Locked Laser (MLL) can be used as a compact light source producing a frequency comb with a fixed carrier spacing [11–13], avoiding the integration of multiple light sources.

A number of possibilities exist to generate comb sources in compact form factors, among these parametric comb generation in high-Q resonators [14,15], a combination of gain switching with injection locking [16], as well as direct comb generation in passively mode-locked semiconductor lasers, either with [11] or without a saturable absorber (so-called single section MLLs) [17]. While low noise combs with very large carrier numbers have been obtained by parametric generation in high-Q resonators [18], these have typically been pumped by high power benchtop lasers. While low threshold comb generation has been shown with ultra-high-Q microtoroid cavities [19], reaching thresholds in reach of semiconductor diode lasers with on-chip waveguide coupled devices remains challenging. Gain switched combs require an RF oscillator, significantly penalizing their system level power conversion efficiency. Thus, semiconductor MLLs remain by far the most compact and power efficient comb source.

Semiconductor MLLs however also suffer from high Relative Intensity Noise (RIN). While mode-locking suppresses Fabry-Perot mode partition noise to a large extent, relatively high RIN levels, typically on the order of −120 dBc/Hz for frequencies up to a few GHz in the absence of additional stabilization, remain. Moreover, the power per line is typically limited on the order of a milliwatt, which is quite low compared to what can be obtained with arrays of single wavelength lasers. While reducing the length of the laser chip increases its Free Spectral Range (FSR), decreases the number of comb lines fitting within its gain bandwidth and thus, at first, increases the power in each comb line, for large FSRs above ~100 GHz the overall laser power drops, so that the power per line cannot be indefinitely scaled up this way. While having some differences and trade-offs, the power per comb line and the spectrally integrated RIN per comb line is not so different for single section MLLs and those relying on a saturable absorber, as can be seen for example from a comparison of [11] with [12]. Here we use a single section Quantum (Q-)Dash MLL based on a Buried Ridge Stripe (BRS) Fabry-Perot cavity with a ridge width of 1.25 μm and a gain material consisting in six layers of InAs Q-dashes in an InGaAsP barrier grown on an InP wafer.

The objective of the work described in this paper is to investigate if and under which conditions these lasers can be used in conjunction with integrated silicon photonics to implement DWDM transceivers while maintaining form factors, power consumption and uncorrected Bit Error Ratios (BER) acceptable for short reach DCIs. In order to obtain realistic benchmarks, chip-scale driver and receiver electronics specifically developed for small form factor Datacom modules are integrated via wire bonding rather than characterizing the transceiver chip with benchtop electronics. As a consequence, drive signals delivered to the modulator are limited to 2 Vpp and the input referred noise of the receiver electronics is above that of best-of-class benchtop test equipment. Due to the high insertion losses inherent to silicon photonics technology, reamplification of the light after modulation appears necessary when the SiP receiver is used in conjunction with the SiP transmitter.

Previous studies consisted in demonstrating a single link amplified by an Erbium Doped Fiber Amplifier (EDFA) [20]. While an EDFA provides high gain, a low noise figure, as well as low signal distortion afforded by the long relaxation time constant of the gain medium, it is also a power hungry and bulky device, so that at a later stage we demonstrated a single link amplified by a compact and lower power Semiconductor Optical Amplifier (SOA), first together with benchtop driver electronics [21] and at a later stage with chip-scale driver and receiver electronics [12]. Amplification with the SOA was done at the output of the Tx chip, after modulation and attenuation due to interfaces and due to the modulation penalties of the RRMs. Otherwise, the limited saturation output power of the SOA would prevent scaling to larger channel counts. I.e., from that perspective, it is beneficial to amplify after, rather than before optical losses have been incurred. In parallel, concurrent transmission of two channels, with carriers provided by spectrally adjacent comb lines of an 80 GHz FSR O-band MLL, pre-amplified by an O-band SOA and later modulated by RRMs with data rates up to 12.5 Gb/s, was also demonstrated and investigated for channel-cross-talk related penalties [22,23]. Since in [22,23] the transmitters were characterized with high-sensitivity, commercial photoreceivers not penalized by the high interface losses of silicon photonics, data transmission could be obtained with modest pre-amplification prior to modulation.

Semiconductor MLLs have also attracted attention as light sources for long distance inter-datacenter communications [24,25]. In [24] four channels sourced by a single MLL were also jointly amplified after modulation, but in this case with an EDFA that could be afforded there due to the different application space. Moreover, due to the long distance nature of these links, more aggressive Forward Error Correction (FEC) was acceptable and uncorrected BER dropped to the 1e-3 range.

While SOAs are compact and lower power than EDFAs, they do not only suffer from a lower output saturation power, but also from a higher Noise Figure (NF), Four Wave Mixing (FWM), as well as Self- and Cross-Gain-Modulation (SGM, XGM). While all of these were taken into account in a multi-channel link budget [13] based on device and circuit characteristics extracted from the single channel experiments [12] as well as experimental SOA characteristics, a certain level of controversy has remained in regards to the scalability of the transmitter to larger channel counts due to the impairments of SOA amplification applied after modulation, so that the latter remained to be verified.

In this paper, an 8-channel WDM transceiver is demonstrated that uses a combination of an external MLL as a light source, integrated RRMs, filters and Optical Add-Drop Multiplexers (OADMs), as well as an external, commercial, single polarization, quantum well SOA based on the InP/InGaAsP material system (Thorlabs S9FC1004P) to amplify the optical signal at the Transmitter (Tx) output. The combination of an MLL with an RRM results in high compactness and conceptual simplicity. However, it also provides a limited power per comb line and results in increased RIN levels associated to MLLs. The SOA compensates for the limited line power at the expense of nonlinear effects in multi-channel operation occurring in addition to excess noise resulting from Amplified Spontaneous Emission (ASE) [26].

An important metric in benchmarking this transceiver against other silicon photonics WDM transceivers in general and other comb based SiP transceivers in particular lies in the power consumption associated to the MLL and SOA. The MLL consumes 350 mW of power at the utilized bias point and the SOA has a typical power consumption of 780 mW, amounting to a total of 1.1 W, i.e., 140 mW per channel. Assuming a typical laser wall plug efficiency of 15%, this is a comparable power consumption to a solution utilizing 20 mW of unamplified laser power per channel as sourced e.g. by low noise DFB lasers. Since link budget calculations indicate that up to 12 links could potentially be supported with the same components [13], there might be additional room to reduce light source power consumption via scaling of the channel count. Power consumption associated to global thermal stabilization (thermoelectric cooler) and fine tuning of the rings comes on top as a price to pay for implementing a DWDM rather than a Parallel Single Mode (PSM) solution.

As a further guidance to the interpretation of the results described in the following, it should be noted that when the III-V components are operated close to room temperature (< 30 °C) and in back-to-back configuration, the signal quality in this system is primarily limited by the RIN of the MLL and the ASE of the SOA, each contributing about equally to the total noise, with receiver noise playing very little role [13]. Link margins available to increase the transmission length or the temperature of operation of the III-V devices, each contributing to increasing the role of receiver noise as link attenuation rises and SOA gain drops, are discussed in the following.

Even though significant improvements have been made towards hybrid integration based on flip-chip attachment of MLLs for this system [27], MLL/SOA integration and polarization management have not been realized in this transceiver. However, light is edge-coupled from the MLL to the SiP chip and from the SiP chip to the SOA (with inverse tapers and lensed fibers), with additional penalties arising from tight fiber bends in the test setup and the inability to precisely control the fiber angles, resulting in ~7 dB insertion losses (IL) at each of the two interfaces, comparable to what hybrid integration would have introduced, see Table 1 (note that the fiber-to-chip interface losses as well as the losses of the on-chip photonic devices could each be independently verified with internal taps connected to grating couplers). Moreover, Grating Coupler (GC) IL at the receiver input (5 dB) are comparable to those of a Polarization Splitting Grating Coupler (PSGC) in a technology with an optimized Buried Oxide (BOX) layer thickness. Thus, interface losses seen here can be taken to also be representative for those that would be seen in an implementation with light source integration and polarization diversity management. The proposed transceiver achieves 8 by 14 Gbps DWDM data transmission with performance in line with predictions based on modeling and single channel experiments [12,13], allowing verification of the feasibility of this technology.

Tables Icon

Table 1. Relevant device and system parameters from the experiments.

2. Description of transceiver

The block diagram of the DWDM transceiver is shown in Fig. 1. The transmitter (Tx) includes an MLL with a 100 GHz (0.8 nm) FSR that is mounted on a separate stage and operated at 25 °C and 257 mA injection current [12]. After coupling the MLL to a lensed fiber and sending the light through an isolator, the light is edge coupled to the SiP chip. The characteristics of the central MLL comb lines are shown in Fig. 2. Eight consecutive lines from 1550.9 nm to 1556.5 nm with comb line power levels ranging from −2.5 dBm to −1 dBm, as measured in the lensed fiber right after coupling from the MLL, have been used for WDM transmission. Isolated comb lines have a spectrally integrated RIN (σP2/P2 with P the optical power and σP its std. dev.) of around 5e-3 resulting from the typical −120 dBc/Hz RIN levels of these lasers in the 0 to 4 GHz frequency range (the RIN decays very significantly at higher frequencies). An exemplary RIN spectrum can be found in the supplementary materials of [12]. After coupling to the SiP chip, unused comb lines are removed by a wideband three-stage Coupled Resonator Optical Waveguide (CROW) filter identical to the one described in [28] to ensure that the available SOA output power can be optimally allocatedto the modulated lines. This CROW filter is operated in through-port configuration, i.e., light transmitted downstream to the modulators remains in the bus waveguide rather than transiting through the coupled resonators of the CROW, so that filter induced dispersion as well as insertion losses are minimized. In any case, implementing the modulators downstream of the filter guards in itself against dispersion in the filter, as the phase relationship between the carriers does not play a role here.

 figure: Fig. 1

Fig. 1 DWDM transceiver block diagram. (a) Tx side. (b) Rx side.

Download Full Size | PDF

 figure: Fig. 2

Fig. 2 Comb line power (in the lensed fiber right after the MLL) and integrated RIN per line when operated at 25 °C and 257 mA injection current.

Download Full Size | PDF

The selected carriers are then On-Off-Key (OOK) modulated by an RRM array optimized for a drive voltage of 2 Vpp (0 V to 2 V reverse bias). The electrical input signal is regenerated by two 4-channel drivers from Mellanox Technologies [12]. Both the CROW filter and the RRMs can be thermally tuned and controlled by reading the power from auxiliary photo-detectors [see Fig. 1(a)]. Reliance on exactly identical rings throughout the CROW filter (minimization of proximity effects during fabrication) as well as the high coupling strengths between the individual rings resulting as a consequence of the large required stop- and passbands enabled a robust design in which rings do not need to be individually thermally tuned [28]. Rather, the CROW filter stages were globally thermally controlled to align the passband with the MLL spectrum, greatly facilitating closed loop control. RRMs were individually aligned to MLL comb lines by means of thermal tuning, wherein choosing an RRM FSR just slightly larger than the eight-channel communication band minimizes the required tuning ranges [29].

The Tx transmission spectrum is plotted in Fig. 3 together with the filtered MLL spectrum featuring eight selected carriers aligned to the RRMs within the pass-band of the CROW filter (as well as an unused ninth line also falling within the filter pass-band). As already mentioned, tight fiber bends needed to bring the fibers to the SiP chip [see Fig. 4(a)] have increased IL per facet to 7 dB. Together with the internal losses from the SiP chip, these produce a Tx attenuation of 16 dB (out of RRM resonance) within the CROW filter passband as seen in Fig. 3.

 figure: Fig. 3

Fig. 3 Tx transmission and filtered MLL spectra at 25 °C and 257 mA showing 8 RRMs aligned within the pass-band of the CROW filter. The measurement is taken in the fiber at the Tx-chip output, before the SOA.

Download Full Size | PDF

 figure: Fig. 4

Fig. 4 (a) Photograph of transceiver board with optical I/O. (b) Detailed view of the silicon photonics chip and high-speed components. The input and output of the Tx are edge coupled. The input and output of the Rx are grating coupled with a fiber array. Only two fibers are used in the fiber array during system test.

Download Full Size | PDF

The Receiver (Rx) architecture is shown in Fig. 1(b). Light is coupled into the SiP chip using a GC and is then routed to an optical 8-channel demultiplexer implemented in the form of cascaded ring based OADMs, each routing a single WDM channel to an individual drop waveguide connected to a separate integrated Germanium waveguide Photodiode (GePD). In this architecture, the OADMs also filter out ASE and contribute to ASE-ASE beat noise reduction. Each OADM can be independently thermally tuned to select one of the modulated carriers. GePD outputs are finally wire bonded to two 4-channel Rx chips from Mellanox Technologies [12] containing TransImpedance Amplifiers (TIAs), Limiting Amplifiers (LAs) and Clock Data Recovery (CDR) regenerating the electrical signals before BER detection. The waveguide layout as well as a micrograph of the system chip are shown in Fig. 5. A photograph of the transceiver including its three optical interfaces (1 fiber array and 2 edge coupler arms) is shown in Fig. 4(a). The board includes the SiP chip, the Tx/Rx high-speed electronics and a fanout for the transceiver control signals. The inset [Fig. 4(b)] shows the SiP chip connected with wire-bonds to the drivers, TIAs (short wire-bonds) and the control signal fanout (long wire-bonds).

 figure: Fig. 5

Fig. 5 (a) Micrograph of the system chip. Insets show detailed views of the CROW based filter, individual RRMs with shielding electrodes, and OADM array with connected high-speed GePDs. (b) Layout of the waveguides. Edge couplers for coupling to the MLL and SOA are located on another chip overhanging from the PCB, see Fig. 4. After edge coupling, light from the MLL is brought to the system chip via waveguide (1) and subsequently routed to the 3-stage CROW filter (2), including 3 monitor GePD for individual monitoring of each stage. GC ports (2b) serve to debug the CROW filter and to access individual subsystems on the chip. Light is then routed back to a first 4X RRM array (3a) cascaded with a second 4X array (3b) each wire bonded to 4-channel drivers. Each RRM is monitored by a monitor GePD located in (3c). The size of the south facing pad frame is constrained by the layout of 50 Ω impedance matched transmission lines on the PCB. After modulation by the RRMs, light is sent to and collected back from the SOA via a pair of waveguides (4). GC ports (4b) serve to debug the chip, in particular to monitor edge coupling insertion losses and to break out individual subsystems on the chip. Finally, light is output coupled to the optical fiber via Tx Out GC port (5). Note that in the experiments described in this paper, this last feature was not used and light coupled directly from the output of the commercial SOA to the Rx input. GC ports (6) are the Rx input and output ports, the latter serving as a debug feature. After entering the chip, light is sent to the 8X ring based OADM array (7) and individual channels routed to either one of the 4 high-speed GePD (8a) or to one of the 4 GCs (8b) coupling light to commercial flip-chipped photodetectors [12]. In the experiments done here, characterization was always done with the integrated GePDs (8a). The OADMs were reconfigured once to sequentially characterize the two groups of 4 channels (without reconfiguring any other parts of the system, i.e., the 8 channels were always jointly amplified by the SOA). Optical power coupled to the individual photodetectors and remaining in the main Rx bus waveguide is also monitored by monitor GePDs (8c).

Download Full Size | PDF

3. System measurements

In order to determine a baseline performance not yet penalized by multi-channel operation and MLL RIN, we first measured the whole link operated individually for each of the channels in back-to-back configuration, with the Tx and Rx connected together with a short polarization maintaining fiber, using a single wavelength tunable laser from Keysight Technologies (81600B) as a low noise light source. 14 Gbps PRBS 27-1 data streams from an Anritsu pattern generator (MU183020A) were routed to the differential input stage of the drivers. The Tx output was amplified with the commercial SOA maintained at a temperature of 25 °C with help of a Peltier element and was subsequently coupled back to the Rx side of the transceiver, routed to one of the GePD/TIA channels and connected to an Error Detector (ED) from the Anritsu Bit Error Rate Tester (BERT). Recorded BERs are plotted in Fig. 6 for a representative channel.

 figure: Fig. 6

Fig. 6 BER obtained with a commercial laser for a representative channel of the transceiver operated in single channel configuration. The x-axis shows the power sourced by the laser prior to coupling into the Tx chip.

Download Full Size | PDF

Note that the relatively low power of isolated MLL lines, and thus the resulting low power at the SOA input, are a major limitation of the link budget (as limited by ASE). Thus, we benchmark the link performance as a function of sourced laser power in the fiber before the Tx chip input (x-axis of Fig. 6). For a single optical carrier as in the experiment performed here, the average power at the output of the Tx is 24.2 dB below the sourced laser power, with losses accounted for by 15.8 dB insertion losses between the Tx input and output fibers (7 dB per interface and slightly below 2 dB internal losses), 0.4 dB insertion losses caused by an inline power monitor, and 8 dB average power attenuation caused by the RRMs. Due to ASE (and in the MLL experiments described below also RIN) playing a dominant role in the total noise, high signal extinction is advantageous, so that the RRMs are biased such that the carriers are very close to resonance, so as to get high extinction even though the drive signal strength is low. As a consequence, the average power is also significantly attenuated by the modulators [12]. The achieved extinction is 9.7 dB (see Table 1).

The average power reaching the SOA is amplified by 24 dB, followed by 0.7 dB attenuation due to a second power monitor, so that the average power finally reaching the Rx is 0.9 dB below the initially sourced laser power.

The exact same numbers hold for the MLL system experiments described in section 3.2, in which the tunable laser is replaced by the MLL and all eight channels are modulated simultaneously, but the setup is otherwise left unchanged. I.e., average power at the input of the SOA and at the input of the Rx (both in the fiber) are respectively 24.2 dB and 0.9 dB below the power sourced in a given comb line.

In the transmitter characterization experiment described in section 3.1, in which the SiP transmitter is characterized with a standard commercial receiver, an additional 40 GHz filter and power monitor are inserted after the SOA for channel selection and ASE noise reduction, so that the average power reaching the Rx, as reduced by the additional insertion losses, is 3.2 dB below the sourced laser power.

For comparison purposes, the noise floors of the commercial photoreceiver and of the silicon photonics WDM receiver developed here are respectively at −9.5 dBm and −10.7 dBm average power (defined as the average power in the fiber, right before the Rx, at which the BER reaches 1e-12 assuming infinite extinction), which would correspond to sourced laser power levels of respectively −6.3 dBm and −9.8 dBm in sections 3.1 and 3.2 respectively. The better sensitivity of the Rx developed here is due in part to the low input referred noise of the utilized TIA, see Table 1, resulting both from a low input referred power spectral density as well as from a reduced bandwidth, 21 GHz, as opposed to the 40 GHz of the commercial receiver and the > 30 GHz of the ED. A comparison with available comb line power levels immediately shows that at room temperature (24 dB SOA gain) and back-to-back operation, the full link is not limited by receiver noise. The data shown in Fig. 6 is entirely limited by ASE.

Since (due to a design error) at resonance the single sided electro-optic (E/O) OADM bandwidth was just 10 GHz (19 GHz optical bandwidth), the link was heavily penalized at 25 Gbps (the single sided E/O bandwidth was mistakenly taken as the optical bandwidth during the design phase). While detuning of the OADM relative to the carrier allows extending its bandwidth by triggering a peaking response, this would also result in higher insertion losses [30,31]. Moreover, the utilized Rx electronics featured an increased penalty at 25 Gbps [12]. Due to these as well as the high edge-coupling losses discussed above, 25 Gbps operation could not be reached with acceptable BER and multi-channel DWDM experiments described below were thus only performed at 14 Gbps. Since the system was initially designed for 25 Gbps, at their typical bias point, as described above, the RRMs had a 20 GHz E/O cutoff frequency, which is an overkill for 14 Gbps. This unnecessarily reduces the obtainable Optical Modulation Amplitude (OMA), since the two are being traded off against each other during modulator design. There are thus several straightforward improvement paths. In all data sets reported below, all 8 channels were operated simultaneously with independent data streams.

3.1 Transmitter characterization with standard receiver

We have completed two DWDM experiments with the MLL with characteristics summarized in Fig. 2. Results are compared with the link budget model described in [13] assuming the relevant device and system characteristics described in Table 1. The first experiment consists in the modulation and transmission of 8 14-Gbps channels and their detection with a commercial photoreceiver. After modulation by the developed transceiver board all channels are simultaneously amplified with a commercial SOA and sent through either a short loopback or a 10 km fiber. Then, the signal is demultiplexed by filtering out a single channel using a commercial tunable filter and detected with a commercial 40 GHz bandwidth linear photoreceiver (Finisar XPRV2021A) with an external responsivity specified to be between 0.5 and 0.75 A/W and an input referred noise of 40pA/Hz. An inline power meter is used after the filter to monitor the received optical power for each of the channels. Finally, the transduced electrical signal from each channel is recorded with a real-time oscilloscope to extract the Q-factor or sent back to the BERT to measure BER. Figure 7(a) depicts a transduced eye diagram for channel 3 (1552.5nm) after 10 km fiber. As can be seen, the eye diagram remains open. A comparison with the loopback measurement shows negligible penalties other than attenuation (i.e., fiber dispersion and RRM induced chirp do not play a role < 10 km due to the low drive voltage [12]). Extracted Q-factors are shown for all the channels in Fig. 7(b). After a 10 km fiber length, the Q-factors of the 8 channels range between 4 and 6 at 14 Gbps. Furthermore, the link budget model [13] predicts very well the measured results [see Fig. 7(b)]. Here, channels 3, 6 and 7 show better signal quality as a consequence of the power of the corresponding lines being about 2 dB higher compared to the other 5 channels. This is incidental to this particular experiment, as the laser’s exact spectral shape is very sensitive to optical feedback and varies from experiment to experiment.

 figure: Fig. 7

Fig. 7 (a) Representative eye diagram for channel 3 (1552.5nm). The y-axis represents the optical power relative to its average, as measured by an AC-coupled commercial photoreceiver. (b) Transmitter Q-factor measured with a commercial photo-receiver for each of the 8 channels at 14 Gbps for 0 km and 10 km fiber links, comparison with modeling.

Download Full Size | PDF

Using the same configuration and RRM bias points, we have also measured the BER for PRBS 27-1 and 231-1 data streams. ED decision thresholds were set at their optimum value. As seen in Fig. 8(a), the PRBS cycle length does not have a significant impact on the recorded BER. Moreover, overall the average BER decrease as the transmission length is increased from 0 to 10 km is consistent with the Q-factor decrease from Fig. 7(b). Looking closely at the results, there are some differences between the Q-factor and BER measurements attributed to fluctuating MLL comb line power levels: The power of individual lines of this laser was observed to fluctuate up to ± 1 dB for the 2 outermost lines and by ± 0.5 dB for the central lines. Hence, even controlling the alignment of all the optical interfaces and the biaspoints of the RRMs, there remains some uncertainty in the line power, putting some limits on the ability to perform detailed comparisons between experiments.

 figure: Fig. 8

Fig. 8 (a) BER measured with a commercial photoreceiver at 14 Gbps for PRBS 27-1/231-1 and 0/10 km fiber links. (b) Measured BER using the full transceiver at 14 Gbps for PRBS 27-1/231-1 and modeled BER.

Download Full Size | PDF

3.2 Full link (Tx + Rx) characterization

For the second DWDM experiment, we have characterized the full link using the developed transceiver as both the Tx and the Rx, with only the commercial SOA interposed in between for simultaneous amplification of the 8 channels. Here, a back-to-back configuration was used with a polarization maintaining fiber. Regenerated electrical signals are sent to the BERT’s ED for BER evaluation with both PRBS 27-1 and PRBS 231-1 data streams, with nearly identical results for both pattern lengths as can be seen in Fig. 8(b).

We have been able to measure BER for the six centermost channels, but not for the two outer channels. All channels were penalized by the offset compensation of the receiver chips setting the decision threshold in the center of the eye, a non-optimum threshold here since dominant noise sources are RIN and ASE [26]. The outermost channels were penalized in addition by the high power fluctuations of their carrier comb lines ( ± 1 dB) resulting in the autonomous offset compensation running into its rails (verified by means of a digital read-back of the offset compensation settings). This also explains the substantial discrepancies with modeling seen in Fig. 8(b) as well as the strong deterioration of the BER of outer channels:

Here, link model predictions [Fig. 8(b)] are not as accurate as in the previous experiments shown in Fig. 7(b), primarily because the exact received power could not be inline monitored on a channel per channel basis, as all channels were sent together to the Rx and are subject to some amount of power fluctuation, and because the offset compensation loop was not able to track the entire dynamic range of the power fluctuations in the case of the outer channels (explaining the systematically increasing penalty, compared to modeling, as one moves to the outer comb lines). According to the model, optimized setting of the decision threshold obtained by skewing the offset compensation away from the mid-point threshold would allow this transceiver to comply with an uncorrected BER of 5e-5 compatible with IEEE standard 802.3 clause 91 (as optionally used e.g. in 100G-CLR4 modules) with a link margin for fiber and connector losses of 6.7 dB and 8.2 dB, respectively for outer and central lines. It should thus easily accommodate a 10 km fiber length between the Tx and the Rx since it only introduces modest losses and no eye distortion, see Fig. 7(a) (modeling indicates that beyond 10 km the interplay of RRM modulation chirp and dispersion increasingly burdens the link). The GC loss (~5 dB) observed in the Rx and tied to the suboptimum BOX layer thickness is on the same order than observed with optimized PSGCs [32], so that polarization diversity management can already be deemed to be covered by the present link budget (in any case, a generous margin remains in the link as a consequence of it not being primarily limited by Rx noise at room temperature). Even assuming laser and SOA operation at 45 °C (with reduced gain, reduced output saturation power and increased NF as also assumed in [13]), link margins of 1.7 dB and 2.7 dB remain in back-to-back operation, respectively for outer and central lines, providing a margin for connectorization of short distance links.

4. Outlook

The transceiver presented here has proven that an 8-channel DWDM system using a combination of semiconductor MLL, RRMs and SOA can work up to data rates of 14 Gbps with a modest amount of FEC pending retargeting of the offset compensation loop. An outstanding issue remains with laser integration that can be implemented e.g. with flip-chip [27] or heterogeneous integration [33]. While laser-to-SiP insertion losses can be expected to be comparable to here, or even much better in case of heterogeneous integration, in either case short range feedback arising from the SiP chip facet (flip-chip integration) or other on-chip elements such as GCs (heterogeneous integration) may prove detrimental to mode-locking if the isolator is moved to the Tx output. Stabilization of mode-locking with a SiP Photonic Integrating Circuit (PIC) in the presence of weak detrimental optical feedback has been recently shown [34]. Bringing together this approach with the transceiver architecture shown here could result in a fully integrated semiconductor MLL based DWDM transceiver solution.

Furthermore, as an alternative to FEC, uncorrected BER could be improved by a number of measures. For one, the RRMs were designed for 25 Gbps (20 GHz cutoff frequency) so that the achievable OMA at 14 Gbps is unnecessarily reduced. On the laser side, replacing the reflective facets of the Fabry-Perot cavity with distributed feedback reflectors with a tailored reflection range might enable splitting the available optical power as limited by the saturation of the gain medium more optimally over a smaller number of comb lines [35], as here a number of comb lines remain unused. Heterogeneous integration of laser and of SOA would significantly reduce the interface losses. Using Ring-Assisted Mach-Zehnder Modulators (RA-MZM) in push-pull configuration would not only improve chirp, thus increasing the reach beyond the 10 km shown here or allowing for higher drive voltages without further penalizing the chirp, but would also reduce the modulation penalty at the given voltage drive levels at the price of a higher driver power consumption (two loads to drive and two rings to thermally stabilize) [36]. Additional improvements in OMA could be achieved by operating a RA-MZM with redundant, collectively driven rings for each channel, as in [37,38] but with wavelength selective, relatively high-Q rings.

One may wonder whether improvement of the interface losses seen in this paper would, by itself, be sufficient to remove the need for utilizing an SOA. Interestingly, if we assume the laser to maintain equal performance after heterogeneous integration (i.e., 0 dB Tx input interface losses), the Tx output interface losses to be reduced to 3 dB, and the offset compensation loop to be optimized, the model predicts BERs below 5e-5 even after removing the SOA from the link, provided the modulation and insertion penalties of the modulator (as defined in Table 1) are also improved from 5.5 dB to 2.5 dB. However, these are not only quite optimistic assumptions overall, this would also leave the link with a zero link budget margin as it would now be limited by RIN and receiver noise rather than by RIN and ASE. Overall, while this indicates removing the SOA is not necessarily impossible pending several improvements (reducing RIN in particular would be very beneficial since it currently makes up about half the noise), it does appear to be a challenging proposition in a silicon photonics based implementation.

5. Conclusions

In conclusion, in this paper we have implemented and characterized an 8 by 14 Gbps DWDM transceiver using a combination of an MLL, RRMs and an SOA that supports data transmission with BER better than 5e-5 for the three central channels. After improvement of the setpoint and dynamic range of the offset compensation loop, this transceiver is expected to comply with an uncorrected BER of 5e-5 compatible with IEEE standard 802.3 clause 91 with an interposed fiber link of up to 10 km. Further improvements required for better uncorrected BER or higher data rates have been discussed.

Funding

Seventh Framework Programme, European Commission FP7 (619591).

References

1. N. B. Feilchenfeld, “An integrated silicon photonics technology for O-band datacom,” in Proc. IEEE Int. Electron Dev. Meet. (IEDM) (2015). [CrossRef]  

2. T. Akiyama, et al., “Error-free loopback of a compact 25Gb/s x 4ch WDM transceiver assembly incorporating silicon (de)multiplexers with automated phase-error correction,” in Proc. Opt. Fib. Comm. Conf. (OFC), W1I.2 (2018).

3. G. Li, D. Lambert, J. Zyskind, J. Spann, M. Askari, G. Pickrell, M. Sodagar, and S. Krasulick, “100Gb/s CWDM transmitter and receiver chips on a monolithic Si-photonics platform,” in Proc. Int. Conf. Group IV Photon (2016), pp. 164–165. [CrossRef]  

4. A. Alduino, “Demonstration of a high speed 4-channel integrated silicon photonics WDM link with hybrid silicon lasers,” in Proc. Int. Photon. Res., Sil. & Nanophoton. (2010), paper PDIWI5.

5. T. N. Huynh, A. Ramaswamy, R. Rimolo-Donadio, C. Schow, J. E. Roth, E. J. Norberg, J. Proesel, R. S. Guzzon, J. Shin, A. Rylyakov, C. Baks, B. Koch, D. Sparacin, G. Fish, and B. G. Lee, “Four-channel WDM transmitter with heterogeneously integrated III-V/Si photonics and low power 32 nm CMOS drivers,” J. Lightwave Technol. 34(13), 3131–3137 (2016). [CrossRef]  

6. Q. Xu, B. Schmidt, J. Shakya, and M. Lipson, “Cascaded silicon micro-ring modulators for WDM optical interconnection,” Opt. Express 14(20), 9431–9435 (2006). [CrossRef]   [PubMed]  

7. X. Zheng, F. Y. Liu, J. Lexau, D. Patil, G. Li, Y. Luo, H. D. Thacker, I. Shubin, J. Yao, K. Raj, R. Ho, J. E. Cunningham, and A. V. Krishnamoorthy, “Ultralow power 80 Gb/s arrayed CMOS silicon photonic transceivers for WDM optical links,” J. Lightwave Technol. 30(4), 641–650 (2012). [CrossRef]  

8. M. Pantouvaki, P. Verheyen, G. Lepage, J. De Coster, H. Yu, P. De Heyn, A. Masood, W. Bogaerts, P. Absil, and J. V. Campenhout, “8 × 14 Gb/s Si ring WDM modulator array with integrated tungsten heaters and Ge monitor photodetectors,” in Proc. 2014 Opt. Fib. Comm. Conf. (OFC, 2014), paper Th1C.5.

9. T. Aoki, S. Sekiguchi, T. Simoyama, S. Tanaka, M. Nishizawa, N. Hatori, Y. Sobu, A. Sugama, T. Akiyama, A. Hayakawa, H. Muranaka, T. Mori, Y. Chen, S. H. Jeong, Y. Tanaka, and K. Morito, “Low-crosstalk simultaneous 16-channel × 25 Gb/s operation of high-density silicon photonics optical transceiver,” J. Lightwave Technol. 36(5), 1262–1267 (2018). [CrossRef]  

10. X. Zheng, E. Chang, I. Shubin, G. Li, Y. Luo, J. Yao, H. Thacker, J. H. Lee, J. Lexau, F. Liu, P. Amberg, K. Raj, R. Ho, J. E. Cunningham, and A. V. Krishnamoorthy, “A 33mW 100Gbps CMOS silicon photonic WDM transmitter using off-chip laser sources,” in Proc. 2013 Opt. Fib. Comm. Conf. (OFC, 2013), paper PDP5C.9.

11. D. Yin, A. Gubenkob, I. Krestnikovb, D. Livshitsb, S. Mikhrinb, A. Kovsha, and G. Wojcika, “Laser diode comb spectrum amplification preserving low RIN for WDM applications,” in Proc. 2009 Asia Comm. and Photon. Conf. (ACP, 2009), paper 76311R. [CrossRef]  

12. A. Moscoso-Mártir, J. Müller, J. Hauck, N. Chimot, R. Setter, A. Badihi, D. E. Rasmussen, A. Garreau, M. Nielsen, E. Islamova, S. Romero-García, B. Shen, A. Sandomirsky, S. Rockman, C. Li, S. Sharif Azadeh, G.-Q. Lo, E. Mentovich, F. Merget, F. Lelarge, and J. Witzens, “Silicon photonics transceiver with SOA and semiconductor mode-locked laser,” Sci. Rep. 7(1), 13857 (2017). [CrossRef]   [PubMed]  

13. A. Moscoso-Mártir, J. Müller, E. Islamova, F. Merget, and J. Witzens, “Calibrated link budget of a silicon photonics WDM transceiver with SOA and semiconductor mode-locked laser,” Sci. Rep. 7(1), 12004 (2017). [CrossRef]   [PubMed]  

14. L. Razzari, D. Duchesne, M. Ferrera, R. Morandotti, S. Chu, B. E. Little, and D. J. Moss, “CMOS-compatible integrated optical parametric oscillator,” Nat. Photonics 4(1), 41–45 (2010). [CrossRef]  

15. J. S. Levy, A. Gondarenko, M. A. Foster, A. C. Turner-Foster, A. L. Gaeta, and M. Lipson, “CMOS-compatible multi-wavelength oscillator for on-chip optical interconnects,” Nat. Photonics 4(1), 37–40 (2010). [CrossRef]  

16. M. Deseada Gutierrez Pascual, V. Vujicic, J. Braddell, F. Smyth, P. Anandarajah, and L. Barry, “Photonic integrated gain switched optical frequency comb for spectrally efficient optical transmission systems,” IEEE Photonics J. 9(3), 7202008 (2017).

17. R. Rosales, S. G. Murdoch, R. T. Watts, K. Merghem, A. Martinez, F. Lelarge, A. Accard, L. P. Barry, and A. Ramdane, “High performance mode locking characteristics of single section quantum dash lasers,” Opt. Express 20(8), 8649–8657 (2012). [CrossRef]   [PubMed]  

18. P. Marin-Palomo, J. N. Kemal, M. Karpov, A. Kordts, J. Pfeifle, M. H. P. Pfeiffer, P. Trocha, S. Wolf, V. Brasch, M. H. Anderson, R. Rosenberger, K. Vijayan, W. Freude, T. J. Kippenberg, and C. Koos, “Microresonator-based solitons for massively parallel coherent optical communications,” Nature 546(7657), 274–279 (2017). [CrossRef]   [PubMed]  

19. P. Del’Haye, A. Schliesser, O. Arcizet, T. Wilken, R. Holzwarth, and T. J. Kippenberg, “Optical frequency comb generation from a monolithic microresonator,” Nature 450(7173), 1214–1217 (2007). [CrossRef]   [PubMed]  

20. J. Müller, “Silicon photonics WDM transmitter with single section semiconductor mode-locked laser,” Adv. Opt. Technol. 4(2), 119–145 (2015).

21. J. Müller, “High speed WDM interconnect using silicon photonics ring modulators and mode-locked laser,” in Proc. 2015 Europ. Conf. Opt. Comm. (ECOC) (2015). [CrossRef]  

22. C.-H. Chen, M. Ashkan Seyedi, M. Fiorentino, D. Livshits, A. Gubenko, S. Mikhrin, V. Mikhrin, and R. G. Beausoleil, “A comb laser-driven DWDM silicon photonic transmitter based on microring modulators,” Opt. Express 23(16), 21541–21548 (2015). [CrossRef]   [PubMed]  

23. M. A. Seyedi, C.-H. Chen, M. Fiorentino, and R. Beausoleil, “Error-free DWDM transmission and crosstalk analysis for a silicon photonics transmitter,” Opt. Express 23(26), 32968–32976 (2015). [CrossRef]   [PubMed]  

24. N. Eiselt, H. Griesser, M. Eiselt, W. Kaiser, S. Aramideh, J. J. Vegas Olmos, I. Tafur Monroy, and J.-P. Elbers, “Real-time 200 Gb/s (4x56.25 Gb/s) PAM-4 transmission over 80 km SSMF using quantum-dot laser and silicon ring-modulator,” Proc. Opt. Fib. Conf., W4D.3 (2017).

25. J. N. Kemal, P. Marin-Palomo, K. Merghem, G. Aubin, C. Calo, R. Brenot, F. Lelarge, A. Ramdane, S. Randel, W. Freude, and C. Koos, “32QAM WDM transmission using a quantum-dash passively mode-locked laser with resonant feedback,” in Proc. Opt. Comm. Conf. (2017), paper Th5C.3. [CrossRef]  

26. J. Witzens, J. Müller, and A. Moscoso-Mártir, “Modification of level dependent ASE-signal beat noise by optical and electrical filtering in optically preamplified direct detection receivers,” IEEE Photonics J. 10(1), 7500416 (2018). [CrossRef]  

27. A. Moscoso-Mártir, F. Merget, J. Mueller, J. Hauck, S. Romero-García, B. Shen, F. Lelarge, R. Brenot, A. Garreau, E. Mentovich, A. Sandomirsky, A. Badihi, D. E. Rasmussen, R. Setter, and J. Witzens, “Hybrid silicon photonics flip-chip laser integration with vertical self-alignment,” in Proc. 2017 Conf. on Lasers and Electro-Optics Pacific Rim (CLEO-PR) (2017). [CrossRef]  

28. S. Romero-García, A. Moscoso-Mártir, J. Müller, B. Shen, F. Merget, and J. Witzens, “Wideband multi-stage CROW filters with relaxed fabrication tolerances,” Opt. Express 26(4), 4723–4737 (2018). [CrossRef]   [PubMed]  

29. Y. Zheng, P. Lisherness, M. Gao, J. Bovington, K.-T. Cheng, H. Wang, and S. Yang, “Power-efficient calibration and reconfiguration for optical network-on-chip,” J. Opt. Commun. Netw. 4(12), 955–966 (2012). [CrossRef]  

30. J. Müller, F. Merget, S. Sharif Azadeh, J. Hauck, S. R. García, B. Shen, and J. Witzens, “Optical peaking enhancement in high-speed ring modulators,” Sci. Rep. 4(1), 6310 (2014). [CrossRef]   [PubMed]  

31. S. Sharif Azadeh, J. Müller, F. Merget, S. Romero-García, B. Shen, and J. Witzens, “Advances in silicon photonics segmented electrode Mach-Zehnder modulators and peaking enhanced resonant devices,” Proc. SPIE 9288, 928817 (2014). [CrossRef]  

32. F. V. Laere, W. Bogaerts, P. Dumon, G. Roelkens, D. V. Thourhout, and R. Baets, “Focusing polarization diversity gratings for Silicon-on-Insulator integrated circuits,” Proc. 2008 Int. Conf. Group IV Photon. (GFP), 203–205.

33. Z. Wang, K. Van Gasse, V. Moskalenko, S. Latkowski, E. Bente, B. Kuyken, and G. Roelkens, “A III-V-on-Si ultra-dense comb laser,” Light Sci. Appl. 6(5), e16260 (2017). [CrossRef]   [PubMed]  

34. J. Hauck, A. Zazzi, A. Garreau, F. Lelarge, A. Moscoso-Mártir, F. Merget, and J. Witzens, “Semiconductor laser mode locking stabilization with optical feedback from a silicon photonics PIC,” (in review).

35. S. Joshi, N. Chimot, R. Rosales, S. Barbet, A. Accard, A. Ramdane, and F. Lelarge, “Mode locked InAs/InP quantum dash based DBR laser monolithically integrated with a semiconductor optical amplifier,” in Proc. 25th Int. Conf. on Indium Phosphide and Related Materials (2013), paper WeD2–4. [CrossRef]  

36. R. Li, D. Patel, E. El-Fiky, A. Samani, Z. Xing, M. Morsy-Osman, and D. V. Plant, “High-speed low-chirp PAM-4 transmission based on push-pull silicon photonic microring modulators,” Opt. Express 25(12), 13222–13229 (2017). [CrossRef]   [PubMed]  

37. S. Akiyama, T. Kurahashi, K. Morito, T. Yamamoto, T. Usuki, and S. Nomura, “Cascaded-ring-resonator-loaded Mach-Zehnder modulator for enhanced modulation efficiency in wide optical bandwidth,” Opt. Express 20(15), 16321–16338 (2012). [CrossRef]  

38. S. Romero-García, A. Moscoso-Mártir, S. S. Azadeh, J. Müller, B. Shen, F. Merget, and J. Witzens, “High-speed resonantly enhanced silicon photonics modulator with a large operating temperature range,” Opt. Lett. 42(1), 81–84 (2017). [CrossRef]   [PubMed]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (8)

Fig. 1
Fig. 1 DWDM transceiver block diagram. (a) Tx side. (b) Rx side.
Fig. 2
Fig. 2 Comb line power (in the lensed fiber right after the MLL) and integrated RIN per line when operated at 25 °C and 257 mA injection current.
Fig. 3
Fig. 3 Tx transmission and filtered MLL spectra at 25 °C and 257 mA showing 8 RRMs aligned within the pass-band of the CROW filter. The measurement is taken in the fiber at the Tx-chip output, before the SOA.
Fig. 4
Fig. 4 (a) Photograph of transceiver board with optical I/O. (b) Detailed view of the silicon photonics chip and high-speed components. The input and output of the Tx are edge coupled. The input and output of the Rx are grating coupled with a fiber array. Only two fibers are used in the fiber array during system test.
Fig. 5
Fig. 5 (a) Micrograph of the system chip. Insets show detailed views of the CROW based filter, individual RRMs with shielding electrodes, and OADM array with connected high-speed GePDs. (b) Layout of the waveguides. Edge couplers for coupling to the MLL and SOA are located on another chip overhanging from the PCB, see Fig. 4. After edge coupling, light from the MLL is brought to the system chip via waveguide (1) and subsequently routed to the 3-stage CROW filter (2), including 3 monitor GePD for individual monitoring of each stage. GC ports (2b) serve to debug the CROW filter and to access individual subsystems on the chip. Light is then routed back to a first 4X RRM array (3a) cascaded with a second 4X array (3b) each wire bonded to 4-channel drivers. Each RRM is monitored by a monitor GePD located in (3c). The size of the south facing pad frame is constrained by the layout of 50 Ω impedance matched transmission lines on the PCB. After modulation by the RRMs, light is sent to and collected back from the SOA via a pair of waveguides (4). GC ports (4b) serve to debug the chip, in particular to monitor edge coupling insertion losses and to break out individual subsystems on the chip. Finally, light is output coupled to the optical fiber via Tx Out GC port (5). Note that in the experiments described in this paper, this last feature was not used and light coupled directly from the output of the commercial SOA to the Rx input. GC ports (6) are the Rx input and output ports, the latter serving as a debug feature. After entering the chip, light is sent to the 8X ring based OADM array (7) and individual channels routed to either one of the 4 high-speed GePD (8a) or to one of the 4 GCs (8b) coupling light to commercial flip-chipped photodetectors [12]. In the experiments done here, characterization was always done with the integrated GePDs (8a). The OADMs were reconfigured once to sequentially characterize the two groups of 4 channels (without reconfiguring any other parts of the system, i.e., the 8 channels were always jointly amplified by the SOA). Optical power coupled to the individual photodetectors and remaining in the main Rx bus waveguide is also monitored by monitor GePDs (8c).
Fig. 6
Fig. 6 BER obtained with a commercial laser for a representative channel of the transceiver operated in single channel configuration. The x-axis shows the power sourced by the laser prior to coupling into the Tx chip.
Fig. 7
Fig. 7 (a) Representative eye diagram for channel 3 (1552.5nm). The y-axis represents the optical power relative to its average, as measured by an AC-coupled commercial photoreceiver. (b) Transmitter Q-factor measured with a commercial photo-receiver for each of the 8 channels at 14 Gbps for 0 km and 10 km fiber links, comparison with modeling.
Fig. 8
Fig. 8 (a) BER measured with a commercial photoreceiver at 14 Gbps for PRBS 27-1/231-1 and 0/10 km fiber links. (b) Measured BER using the full transceiver at 14 Gbps for PRBS 27-1/231-1 and modeled BER.

Tables (1)

Tables Icon

Table 1 Relevant device and system parameters from the experiments.

Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.