Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Verification of Ge-on-insulator structure for a mid-infrared photonics platform

Open Access Open Access

Abstract

We propose a new Ge waveguide platform on Si substrates using F- and Y-based insulator cladding such as CaF2 and Y2O3 , which have a small refractive index, broad transparency range, and high thermal conductivity. First, we verified the platform by optical mode simulation, showing that Mid-infrared (MID-IR) light is well confined in the proposed Ge waveguide due to the large differences in the refractive indexes of Ge and the insulators. We also investigated the thermal aspects of the platform in order to quickly dissipate the heat for stable light source integration. It was found that our platform provided better thermal dissipation than conventional SOI platforms due to large thermal conductivity of CaF2 and Y2O3. Furthermore, we provide a fabrication method for a Ge-on-insulator (GOI) platform using wafer bonding and splitting techniques. Finally, the optical transparency of the materials used in the platform were characterized at the MID-IR wavelength range and demonstrate that the platform can cover at wide wavelength range of up to at least 13 μm.

© 2018 Optical Society of America under the terms of the OSA Open Access Publishing Agreement

1. Introduction

The Mid-infrared (MID-IR) wavelength range has been of great interest in regard to sensing and spectroscopic applications [1–3]. This wavelength range carries an absorption band for various gases, bio-materials as well as lots of molecules [4–7]. It also overlaps with the atmosphere transparent windows of 3-5 μm and 8-13 μm, which is an important figure-of-merit to fabricate an entire sensing system. However, current sensing systems in MID-IR range are typically bulky and expensive. At present, they cannot be portable, whereas there would be a lot of demands of sensors in IOT era. Therefore, what is needed is a technology platform for producing highly compact sensor systems.

On the other hand, similar trends exist in optical component applications for data communications to make them compact through Si photonics technology [8,9]. Recently, Si photonics technology is being actively researched in order to take advantage of both the benefits of the good optical confinement of SOI substrates and the high compatibility with conventional complementary metal oxide semiconductor (CMOS). It also allows volume-manufacturing through Si semiconductor fabrication facilities. These days, several commercial products have been released by industry. However, this technology platform cannot be directly used in the MID-IR range due to the strong light absorption in SiO2 at the MID-IR wavelength range [1]. Therefore, Si-on-sapphire (SOS), Si-on-nitride (SoN), Si-on-CaF2, suspended Si, all-Si optical platforms (ASOP), and Si-on-Lithium Niobate (SiLN), etc. have recently been suggested as MID-IR photonics platforms [10–18]. However, these platforms are inherently limited by the transparency range of Si (2-8 μm). Other material platforms using chalcogenide glasses have also been suggested [19–21]. T They demonstrated the spiral, S-shape, and Y-junction waveguide to adopt the platform for gas sensing. Furthermore, III-V material-based platforms have been suggested [22]. Recently, Ge waveguide platforms with various forms such as Ge-on-Si [23,24], SiGe on Si [25,26], Ge-on-SOI [27], Ge-on-insulator (GOI) [28], and Ge-on-silicon nitride [29], have been suggested for MID-IR photonics in order to achieve a broader transparency range of Ge up to 15 μm, as well as enhanced optical characteristics from a higher refractive index and larger free-carrier absorption than Si [1,30,31]. Furthermore, Ge waveguide platforms can be monolithically integrated with Ge CMOS technology, which is now being seriously considered as a channel material in next-generation CMOS devices [32].

However, growth-based Ge-on-Si and Ge-on-SOI may suffer from defect-induced performance degradation [23,27], and other bonding-based Ge-on-insulators (mainly SiO2 and SiN) would have a limited applicable wavelength range due to the absorption of SiO2, SiN, etc., in MID-IR light. Therefore, a novel structure to mitigate these disadvantages is strongly required.

Also, the thermally conductive design of Ge waveguide platforms is very important to monolithically integrate the light source at the MID-IR range, because a general light source at that range is a quantum cascade laser, which typically shows lower lasing efficiency than that of an 1.3 or 1.5 μm laser [33,34]. It is quite important to keep the junction temperature cool during operation even with Si photonics, because it determines the center wavelength, spectrum distribution, wall-plug efficiency, and reliability of laser diodes [35], and would therefore be even more important in MID-IR photonics. However, currently-suggested Ge waveguide platforms cannot offer sufficiently low thermal resistance, mainly because of limited thermal conductivity in the materials undergirding the Ge waveguides (SiO2, SiN, etc.).

Therefore, in this paper, we suggest a new GOI platform that uses an F- or Y-based insulator with small a refractive index, broad transparency range [13], and high thermal conductivity as a cladding layer. Also, we verified this material platform using optical mode simulation and thermal simulation. Furthermore, we provide the concept of material integration by wafer bonding and splitting techniques. Finally, we investigated material feasibility by measuring optical transparency with Fourier transform infrared spectroscopy (FTIR).

2. Design and fabrication of a GOI platform for MID-IR photonics

2.1 Optical mode investigation

First, we investigated optical confinement in our GOI waveguide platform via the finite element method (FEM). The schematic image of the suggested GOI rib waveguide is shown in Fig. 1(a), and is composed of the Ge waveguide core and F- or Y-based insulator (such as CaF2, Y2O3, BaF2, etc) as a cladding dielectric underneath the Ge. We also assumed that GOI is formed on the Si substrate, resulting in full compatibility with conventional Si CMOS platforms. Here, CaF2 and Y2O3 have a much smaller refractive index than Ge at a wide wavelength as shown in Fig. 1(b), resulting in strong optical confinement in the Ge waveguide. These materials have also been studied as gate dielectric materials for next-generation CMOS [36,37]. Therefore, we believe that the materials are also Si CMOS compatible. Figure 1(c) shows the mode profile of the fundamental transverse electric (TE) mode in Ge waveguide on CaF2/Si. It shows that the optical mode is strongly confined in the Ge waveguide without any vertical loss to the bottom cladding layer.

 figure: Fig. 1

Fig. 1 (a) The schematic image of suggested GOI rib waveguide platform and (b) Refractive index of Ge, Y2O3, and CaF2 as a function of wavelength. (c) Electric field distribution of fundamental TE mode in Ge waveguide on CaF2.

Download Full Size | PDF

To see the dimension dependence of optical confinement in the Ge waveguide, we investigated the effective refractive index (neff) as a parameter of the waveguide’s width (W) and height (H) as shown in Fig. 2. Figure 2(a) shows the neff of the fundamental TE mode in the Ge waveguide on CaF2 with a change of W and H for the wavelength of 5 μm. Even when a waveguide dimension is decreased to a few microns, neff remained high, indicating strong optical confinement, whereas neff was slightly decreased with a decrease of the waveguide’s dimension. Figure 2(b) shows the W dependence of neff of the first two TE modes in the Ge waveguide, with H of 1 μm for a wavelength of 5 μm. Single mode operations can be obtained in Ge waveguides narrower than 1.4 μm, which is a more relaxed dimension compared to Si waveguides for 1.3 or 1.55 μm. This would be very helpful for easier fabrication and lowered sensitivity on sidewall roughness during fabrication. Figure 2(c) shows the impact of the wavelength on neff. Naturally, as the wavelength increases, neff gradually decreases. Of course, Ge waveguides with more relaxed dimension would increase the neff value. We also found that the typical Ge slab thickness (tslab) used in Si-based waveguide technology does not have a significant impact on neff in Ge waveguides, as shown in Fig. 2(d).

 figure: Fig. 2

Fig. 2 (a) Contour map of neff of the fundamental TE mode in Ge slab waveguide on CaF2 as a parameter of W and H of Ge waveguide at tslab of 80 nm. (b) neff of first two TE mode in Ge waveguide with H of 1 μm and tslab of 80 nm as a function of W. (c) neff of the fundamental TE mode in Ge waveguide as a function of wavelength. (d) tslab dependence of neff of the fundamental TE mode in Ge waveguide with W of 5 μm and H of 1 μm.

Download Full Size | PDF

In the case of near-IR Si photonics, the thickness of SiO2 BOX strongly affects the radiative loss in substrates, because there is no refractive index difference between the Si waveguide and the Si substrate [38]. To investigate similar effects and its sensitivity, we simulated a BOX thickness dependence of the propagation loss of the Ge-on-CaF2 waveguide for wavelengths of 5, 8, 12 μm, as shown in Figs. 3. Single mode condition for each wavelength was found by the simulation, which is same procedure as explained in Fig. 1(b). Then, the propagation losses of TE00 and TM00 were calculated. Each structure parameter and effective refractive index without Si substrate are also shown in each figure of Figs. 3. Here, to simply the discussion, we considered a wire waveguide. As shown in Fig. 3, the propagation loss is increased when the BOX thickness is decreased due to the radiative loss from Ge waveguide to Si substrates. To ensure the loss under 1.0 dB/cm, the BOX thickness should be larger than a certain thickness as shown in Fig. 3. For instance, with λ=8μm, BOX thickness should be thicker than 2.4 μm to achieve propagation loss similar to that of a Si rib waveguide in near-IR Si photonics, whereas its wavelength is much longer than that of Si photonics (λ=1.3, 1.55 μm).

 figure: Fig. 3

Fig. 3 Logarithmic plot of the light propagation loss of Ge waveguide on GOI platform as a function of BOX (CaF2) thickness for wavelengths of (a) 5 μm, (b) 8 μm, and (c) 12 μm.

Download Full Size | PDF

To show the possibility of photonic integrated circuits (PICs), we also discussed the bent waveguide of our GOI platform. Our GOI platform can achieve small band radius (R) owing to its large refractive index difference as shown in Fig. 1(b). To confirm the bending loss of the fundamental mode for our rib waveguide, the finite-difference eigen mode (FDE) solver was used. Here, propagation losses in bent region and mode overlap losses between bent and straight waveguide were both considered. Figure 4(a) shows a bending loss of 2-μm and 5-μm-width waveguide where H is 1 μm. As shown in the figure, a 2-μm-width waveguide can achieve under 1 dB/bend even if its R is only 5 μm. However, in the case of a 5-μm-width waveguide, its bending loss becomes larger because its mode transition loss between the bent and the straight regions of the waveguide is so great. We also calculated the light propagation in the 5-μm and 2-μm-width waveguide of a 5-μm bent waveguide by the finite-difference time-domain (FDTD) method, as shown in Figs. 4(b) and 4(c). Fig. 4(b) illustrates that the higher-order modes are significantly excited after bending. These complex modes may cause unexpected effects such as the loss and the cross talk [39]. Therefore, as shown in Fig. 4(c), when it comes to a 2-μm-width waveguide, it is more suitable to choose W and H that are near to single mode conditions as a way of mitigating these problems.

 figure: Fig. 4

Fig. 4 (a) Simulated bending loss as a function of the bending radius for the 2-μm and 5-μm-width waveguide with 1-μm height. Simulated Light propagation for (b) 5-μm and (c) 2-μm-width waveguide with 1-μm height.

Download Full Size | PDF

Here, to simply demonstrate the concept, we only showed the mode calculation results for the Ge/CaF2/Si waveguide. However, we also obtained similar results/tendencies in Ge/Y2O3/Si, with only a slight difference due to the different refractive indexes of the two materials.

2.2 Thermal simulation

As mentioned above, waveguide design having low thermal resistance (Rth) is quite important to explore MID-IR photonic devices. A light source for this wavelength range needs low steady-state temperature for stable operation and high reliability [40–42]. Therefore, to investigate the thermal properties, we simulated thermal distribution of conventional SOI, suggested GOI with two different BOX materials (CaF2, Y2O3) by assuming a heat source (light source) on the top. For this, we used COMSOL Multiphysics by using the finite element method to solving the heat equation,

ρCpTt=(kT)+Q
where ρ, Cp, T, t, k, and Q are the mass density, the heat capacity, the temperature field, the time, the thermal conductivity, and the heat source, respectively.

Figure 5 shows the temperature distribution at the thermal equilibrium for SOI and GOI waveguide platforms powered by the same heat source. One can clearly see that the suggested GOI shows a much lower steady temperature compared to SOI powered by the same heat source, indicating that the suggested GOI platform provides more efficient heat dissipation. This is attributable to CaF2 (12 W/m·K) or Y2O3 (13.4 W/m·K)’s higher k value compared to that of SiO2 (1.4 W/m·K), which is a primary path of heat dissipation in these structures. These results strongly indicate that changing the BOX material itself significantly benefits the laser integration.

 figure: Fig. 5

Fig. 5 Temperature distribution at thermal equilibrium state with a heat source (light source) on the top of (a) conventional SOI platform, (b) GOI platform with CaF2 BOX, and (c) GOI platform with Y2O3 BOX.

Download Full Size | PDF

Figure 6(a) shows the temperature increase (ΔT) in the SOI and GOI platforms as a function of the heat source on the top. The ΔT value linearly increases in proportion to the heat source’s power, and the slope of ΔT in the GOI platform is much smaller than that in the SOI platform. The thermal resistance (Rth) estimated from this slope is shown in Fig. 6(b). Of course, Rth of GOI is much less than that of SOI and the Rth value itself is quite low (approximately 1 K/mW) even without any metal heat spreader on top, which is comparable or smaller than that of a state-of-the-art hybrid laser for Si photonics [41,42]. With further structural optimization and the introduction of additional heat spreaders, the Rth of the suggested GOI platform can be made smaller, allowing for easy integration of MID-IR light sources.

 figure: Fig. 6

Fig. 6 (a) Temperature increase with an increase of the power of heat source in SOI and GOI platform. (b) Rth values in different waveguide platform. Inset shows the thermal conductivity of each material.

Download Full Size | PDF

2.3 Concept for material integration by wafer bonding and splitting

To make the GOI platform viable for real industry, material integration should be simple and CMOS-compatible. With that in mind, wafer bonding of Ge film on insulator on Si seems an ideal candidate. Using wafer bonding, the thickness of BOX, Ge film, and back interface quality are more controllable compared to other material integration methods such as epitaxial growth, etc. To demonstrate the fabrication concept, we fabricated GOI with an Y2O3 BOX layer from a commercial GOI substrate with a SiO2 BOX. Figure 7 shows the fabrication flow of the GOI substrate using wafer bonding and layer splitting. Here, we also could have used smart-cut techniques from Ge bulk substrates using hydrogen implantation, but, for the simple demonstration, we used a commercial GOI substrate for easy layer splitting via the selective etching of SiO2. First, commercial GOI was prepared with 100 nm-thick-Ge and 140 nm-thick-SiO2 BOX. After native oxide removal by a diluted HF solution, Y2O3 was deposited both on the GOI and Si substrates by the sputtering for thick Y2O3 deposition. Here, BOX thickness was designed to be 2 μm to show the feasibility of “μm”-scale BOX thickness needed to guarantee a low loss Ge waveguide for long wavelengths, as shown in Fig. 3. After the deposition of thick Y2O3, to make the surface smooth, chemical mechanical polishing (CMP) was carried out, followed by direct wafer bonding. Here, proper surface treatments such as O2 plasma irradiation will guarantee good bonding behavior. Subsequently, the donor Si from a commercial GOI substrate and that of a new GOI substrate were separated via the selective etching of the SiO2 layer. Finally, we produced GOI with Y2O3 cladding. It is because that SiO2 is only etched by HF-based solution, whereas Y2O3 is not etched by HF-based solution as used in epitaxial lift-off (ELO) process [43,44]. Here, typical thick dielectric deposition processes can cause great stress and make cracks in the film. However, our optimized film that use sputtering did not show any crack formation during the entire process of GOI fabrication including film deposition, bonding and splitting, which was confirmed by microscopic images, atomic force microscope images(not shown), and SEM. This fabrication concept can be extended to the ELO process if the epitaxial growth of high-quality Ge-on-GaAs is possible [45,46], since the two processes are very similar [43,44,47].

 figure: Fig. 7

Fig. 7 Fabrication flow of GOI structure using wafer bonding and layer splitting by selective etching of SiO2 from commercial GOI substrate.

Download Full Size | PDF

Figures 8(a) and 8(b) show cross-sectional scanning electron microscope (SEM) images of fabricated GOI on Y2O3 on Si, and an enlarged view, respectively. It shows that the Ge was uniformly formed on Y2O3/Si substrates without any visible voids and/or gaps. Due to the CMP process, the bonded interface was distinguishable, but a bonding strength of this interface is still strong. Good bonding behaviors are essential for good optical transmission of Ge waveguide with low optical loss. BOX thickness was, as we designed, around 2 μm. The energy-dispersive X-ray (EDX) mapping image in Fig. 8(c) clearly shows the atomic element of Ge, Y, and Si, as we fabricated.

 figure: Fig. 8

Fig. 8 (a) Cross-sectional SEM image of fabricated GOI substrates by wafer bonding and splitting techniques. (b) Enlarged SEM image of (a). (c) EDX mapping image of the same sample.

Download Full Size | PDF

After GOI fabrication, the GOI layer was evaluated by Raman spectra measurement to evaluate the layer quality. Figure 9 shows the Raman spectra of fabricated GOI and the initial commercial GOI. As a reference, the Raman spectra of bulk Ge substrate was also measured. Surprisingly, the Raman spectra of fabricated GOI exactly matched that of the original commercial GOI, indicating that the quality of the GOI film was fully maintained during the wafer bonding and splitting process. Also, the full width at half maximum of the peak from GOI was almost same as that of the Ge bulk substrates, showing a high layer quality. A slight peak shift, corresponding to the strain in the film, was possibly caused during the fabrication of commercial GOI substrates.

 figure: Fig. 9

Fig. 9 Fabrication flow of GOI structure using wafer bonding and layer splitting by selective etching of SiO2 from commercial GOI substrate.

Download Full Size | PDF

3. Optical characterization of materials

Our first reason to research the GOI waveguide platform was light absorption at the MID-IR range in SOI platform. To use a GOI platform at the MID-IR range, material systems used in the GOI platform should guarantee transparency at the MID-IR range. Therefore, we investigated the transmittance of CaF2 and Y2O3 on Ge substrates using a FTIR measurement system, as shown in Fig. 10. As a reference, transmittance of SiO2 on Ge and Ge substrates was also measured. Here, the film thickness of the insulator was fixed at 200 nm and all films were deposited by electron beam evaporation, whereas the sputtering was used for GOI demonstration. Here, we believe that deposition method does not impact on optical properties of Y2O3 and deposition method can be designed depending on the purpose of the use. To minimize differences in the reflected incident light, the light was coupled to the back side of double-polished Ge substrates. The transmittance value of SiO2/Ge is lower than that of others, indicating that SiO2 absorbs large amounts of the light at all wavelength ranges, even with a relatively thin film thickness of 200 nm. In particular, large deep near the wavelength of 9 μm would be associated with the asymmetric stretching motion of the oxygen atoms of two adjacent Si-O-Si units [48]. On the other hand, other samples such as CaF2/Ge and Y2O3/Ge show much higher transmittance values compared to that of SiO2/Ge and it is nearly the same with those of Ge substrates, whereas CaF2 deposited at room temperature (R.T.) slightly absorbs the light at a longer wavelength range (compare the blue and black lines). These absorptions were eliminated by the substrate heating during the CaF2 deposition at 120 oC. It would be because that a substrate heating makes dense CaF2 film, which can prevent the film from being attacked by moisture [49]. These results indicate that materials used in the suggested GOI waveguide platform can cover at wide wavelength range up to at least 13 μm. The MID-IR photonics platform that shows broad wavelength coverage is experimentally verified in this work.

 figure: Fig. 10

Fig. 10 Transmittance of CaF2/Ge, CaF2/Ge (deposited at 120°C), Y2O3/Ge, SiO2/Ge, and only Ge substrates measured by FTIR measurement system. Insulator deposition was carried out by EB evaporation.

Download Full Size | PDF

4. Conclusion

We proposed a novel MID-IR photonics platform using a Ge waveguide on insulator cladding layer, such as CaF2 and Y2O3, which is transparent at the MID-IR range. Optical mode calculations showed that the GOI structure had strong optical confinement due to the large difference between the refractive indexes of Ge waveguide and the insulator. Thermal simulation also revealed that the proposed GOI platform has small Rth, which is very beneficial for light source integration. We also provided a material integration concept using wafer bonding and layer splitting technology. Finally, we found that material systems for the proposed GOI platform have optical transparency in regard to MID-IR light. As a result, we believe this GOI platform opens up the possibility for easy fabrication of compact MID-IR sensors using highly-matured semiconductor technology.

Funding

KIST Institutional Program of Flag-ship (2E27160); National Research Foundation of Korea (2015004870, 2016910562); Future Semiconductor Device Technology Development Program (10052962) funded by MOTIE (Ministry of Trade, Industry & Energy).

Acknowledgments

We would like to thank Mr. Seongkwang Kim, Ms. Hyejin Lee, and Mr. Ho-sung Kim for their supports in these experiment

References and links

1. R. Soref, “Mid-infrared photonics in silicon and germanium,” Nat. Photonics 4(8), 495–497 (2010). [CrossRef]  

2. M. W. Sigrist, “Mid-infrared laser-spectroscopic sensing of chemical species,” J. Adv. Res. 6(3), 529–533 (2015). [CrossRef]   [PubMed]  

3. D. Richter, A. Fried, B. P. Wert, J. G. Walega, and F. K. Tittel, “Development of a tunable mid-IR difference frequency laser source for highly sensitive airborne trace gas detection,” Appl. Phys. B 75(2-3), 281–288 (2002). [CrossRef]   [PubMed]  

4. L. M. Hanssen and C. Zhu, “Wavenumber Standards for Mid-infrared Spectrometry,” Handbook of Vibrational Spectroscopy, John Wiley & Sons Ltd, Chichester, 2002.

5. F. Adler, P. Masłowski, A. Foltynowicz, K. C. Cossel, T. C. Briles, I. Hartl, and J. Ye, “Mid-infrared Fourier transform spectroscopy with a broadband frequency comb,” Opt. Express 18(21), 21861–21872 (2010). [CrossRef]   [PubMed]  

6. M. J. Baker, J. Trevisan, P. Bassan, R. Bhargava, H. J. Butler, K. M. Dorling, P. R. Fielden, S. W. Fogarty, N. J. Fullwood, K. A. Heys, C. Hughes, P. Lasch, P. L. Martin-Hirsch, B. Obinaju, G. D. Sockalingum, J. Sulé-Suso, R. J. Strong, M. J. Walsh, B. R. Wood, P. Gardner, and F. L. Martin, “Using Fourier transform IR spectroscopy to analyze biological materials,” Nat. Protoc. 9(8), 1771–1791 (2014). [CrossRef]   [PubMed]  

7. J. A. Hering, P. R. Innocent, and P. I. Haris, “Towards developing a protein infrared spectra databank (PISD) for proteomics research,” Proteomics 4(8), 2310–2319 (2004). [CrossRef]   [PubMed]  

8. M. Hochberg and T. Baehr-Jones, “Towards fabless silicon photonics,” Nat. Photonics 4(8), 492–494 (2010). [CrossRef]  

9. D. Liang and J. E. Bowers, “Recent progress in lasers on silicon,” Nat. Photonics 4(8), 511–517 (2010). [CrossRef]  

10. S. Fathpour, “Emerging heterogeneous integrated photonic platforms on silicon,” Nanophotonics 4(1), 143–164 (2015). [CrossRef]  

11. T. Baehr-Jones, A. Spott, R. Ilic, A. Spott, B. Penkov, W. Asher, and M. Hochberg, “Silicon-on-sapphire integrated waveguides for the mid-infrared,” Opt. Express 18(12), 12127–12135 (2010). [CrossRef]   [PubMed]  

12. S. Khan, J. Chiles, J. Ma, and S. Fathpour, “Silicon-on-nitride waveguides for mid- and near-infrared integrated photonics,” Appl. Phys. Lett. 102(12), 121104 (2013). [CrossRef]  

13. Y. Chen, H. Lin, J. Hu, and M. Li, “Heterogeneously integrated silicon photonics for the mid-infrared and spectroscopic sensing,” ACS Nano 8(7), 6955–6961 (2014). [CrossRef]   [PubMed]  

14. J. Soler Penadés, C. Alonso-Ramos, A. Z. Khokhar, M. Nedeljkovic, L. A. Boodhoo, A. Ortega-Moñux, I. Molina-Fernández, P. Cheben, and G. Z. Mashanovich, “Suspended SOI waveguide with sub-wavelength grating cladding for mid-infrared,” Opt. Lett. 39(19), 5661–5664 (2014). [CrossRef]   [PubMed]  

15. P. T. Lin, V. Singh, J. Hu, K. Richardson, J. D. Musgraves, I. Luzinov, J. Hensley, L. C. Kimerling, and A. Agarwal, “Chip-scale Mid-Infrared chemical sensors using air-clad pedestal silicon waveguides,” Lab Chip 13(11), 2161–2166 (2013). [CrossRef]   [PubMed]  

16. X. Wnag, Z. Cheng, K. Xu, H. K. Tsang, and J.-B. Xu, “High-responsivity graphene/silicon-heterostructure waveguide photodetectors,” Nat. Photonics 7(11), 888–891 (2013). [CrossRef]  

17. J. Chiles, S. Khan, J. Ma, and S. Fathpour, “High-contrast, all-silicon waveguiding platform for ultra-broadband mid-infrared photonics,” Appl. Phys. Lett. 103(15), 151106 (2013). [CrossRef]  

18. J. Chiles and S. Fathpour, “Mid-infrared integrated waveguide modulators based on silicon-on-lithium-niobate photonics,” Optica 1(5), 350–355 (2014). [CrossRef]  

19. A. Gutierrez-Arroyo, E. Baudet, L. Bodiou, J. Lemaitre, I. Hardy, F. Faijan, B. Bureau, V. Nazabal, and J. Charrier, “Optical characterization at 7.7 µm of an integrated platform based on chalcogenide waveguides for sensing applications in the mid-infrared,” Opt. Express 24(20), 23109–23117 (2016). [CrossRef]   [PubMed]  

20. H. Lin, L. Li, Y. Zou, S. Danto, J. D. Musgraves, K. Richardson, S. Kozacik, M. Murakowski, D. Prather, P. T. Lin, V. Singh, A. Agarwal, L. C. Kimerling, and J. Hu, “Demonstration of high-Q mid-infrared chalcogenide glass-on-silicon resonators,” Opt. Lett. 38(9), 1470–1472 (2013). [CrossRef]   [PubMed]  

21. Y. Yu, X. Gai, P. Ma, D.-Y. Choi, Z. Yang, R. Wang, S. Debbarma, S. J. Madden, and B. Luther-Davies, “A broadband, quasi-continuous, mid-infrared supercontinuum generated in a chalcogenide glass waveguide,” Laser Photonics Rev. 8(5), 792–798 (2014). [CrossRef]  

22. B. Schwarz, P. Reininger, D. Ristanić, H. Detz, A. M. Andrews, W. Schrenk, and G. Strasser, “Monolithically integrated mid-infrared lab-on-a-chip using plasmonics and quantum cascade structures,” Nat. Commun. 5, 4085 (2014). [CrossRef]   [PubMed]  

23. M. Nedeljkovic, J. Soler Penadés, C. J. Mitchell, A. Z. Khokhar, S. Stankovi’c, T. Dominguez Bucio, C. G. Littlejohns, F. Y. Gardes, and G. Z. Mashanovich, “Surface-Grating-Coupled Low-Loss Ge-on-Si Rib Waveguides and Multimode Interferometers,” IEEE Photonics Technol. Lett. 27(10), 1040–1043 (2015). [CrossRef]  

24. L. Carletti, M. Sinobad, P. Ma, Y. Yu, D. Allioux, R. Orobtchouk, M. Brun, S. Ortiz, P. Labeye, J. M. Hartmann, S. Nicoletti, S. Madden, B. Luther-Davies, D. J. Moss, C. Monat, and C. Grillet, “Mid-infrared nonlinear optical response of Si-Ge waveguides with ultra-short optical pulses,” Opt. Express 23(25), 32202–32214 (2015). [CrossRef]   [PubMed]  

25. J. M. Ramirez, V. Vakarin, C. Gilles, J. Frigerio, A. Ballabio, P. Chaisakul, X. L. Roux, C. Alonso-Ramos, G. Maisons, L. Vivien, M. Carras, G. Isella, and D. Marris-Morini, “Low-loss Ge-rich Si0.2Ge0.8 waveguides for mid-infrared photonics,” Opt. Lett. 42(1), 105–108 (2017). [CrossRef]   [PubMed]  

26. U. Younis, S. K. Vanga, A. E. Lim, P. G. Lo, A. A. Bettiol, and K.-W. Ang, “Germanium-on-SOI waveguides for mid-infrared wavelengths,” Opt. Express 24(11), 11987–11993 (2016). [CrossRef]   [PubMed]  

27. Y. C. Chang, V. Paeder, L. Hvozdara, J. M. Hartmann, and H. P. Herzig, “Low-loss germanium strip waveguides on silicon for the mid-infrared,” Opt. Lett. 37(14), 2883–2885 (2012). [CrossRef]   [PubMed]  

28. J. Kang, M. Takenaka, and S. Takagi, “Novel Ge waveguide platform on Ge-on-insulator wafer for mid-infrared photonic integrated circuits,” Opt. Express 24(11), 11855–11864 (2016). [CrossRef]   [PubMed]  

29. W. Li, P. Anantha, S. Bao, K. H. Lee, X. Guo, T. Hu, L. Zhang, H. Wang, R. Soref, and C. S. Tan, “Germanium-on-silicon nitride waveguides for mid-infrared integrated photonics,” Appl. Phys. Lett. 109(24), 241101 (2016). [CrossRef]  

30. H. H. Li, “Refractive index of silicon and germanium and its wavelength and temperature derivatives,” J. Phys. Chem. Ref. Data 9(3), 561–658 (1980). [CrossRef]  

31. M. Nedeljkovic, R. Soref, and G. Z. Mashanovich, “Predictions of free-carrier electroabsorption and electrorefraction in germanium,” IEEE Photonics J. 7(3), 2600214 (2015). [CrossRef]  

32. S. Takagi, M. Noguchi, M. Kim, S.-H. Kim, C.-Y. Chang, M. Yokoyama, K. Nishi, R. Zhang, M. Ke, and M. Takenaka, “III-V/Ge MOS device technologies for low power integrated systems,” Solid-State Electron. 125, 82–102 (2016). [CrossRef]  

33. D. Andrijasevic, M. Austerer, A. M. Andrews, P. Klang, W. Schrenk, and G. Strasser, “Hybrid integration of GaAs quantum cascade lasers with Si substrates by thermocompression bonding,” Appl. Phys. Lett. 92(5), 051117 (2008). [CrossRef]  

34. A. Spott, J. Peters, M. L. Davenport, E. J. Stanton, C. D. Merritt, W. W. Bewley, I. Vurgaftman, C. S. Kim, J. R. Meyer, J. Kirch, L. J. Mawst, D. Botez, and J. E. Bowers, “Quantum cascade laser on silicon,” Optica 3(5), 545–551 (2016). [CrossRef]  

35. D.-S. Kim, C. Holloway, B. Han, and A. Bar-Cohen, “Method for predicting junction temperature distribution in a high-power laser diode bar,” Appl. Opt. 55(27), 7487–7496 (2016). [CrossRef]   [PubMed]  

36. P. Ahmet, K. Nakagawa, K. Kakushima, H. Nohira, K. Tsutsui, N. Sugii, T. Hattori, and H. Iwai, “Electrical characteristics of MOSFETs with La2O3/Y2O3 gate stack,” Microelectron. Reliab. 48(11), 1769–1771 (2008). [CrossRef]  

37. H. Onoda, M. Sasaki, T. Katoh, and N. Hirashita, “Si-Gate CMOS Devices on a Si/CaF2/Si Structure,” IEEE Trans. Electron Dev. 34(11), 2280–2285 (1987). [CrossRef]  

38. F. Grillot, L. Vivien, S. Laval, and E. Cassan, “Propagation Loss in Single-Mode Ultrasmall Square Silicon-on-Insulator Optical Waveguides,” J. Lightwave Technol. 24(2), 891–896 (2006). [CrossRef]  

39. D. Dai and S. He, “Analysis of characteristics of bent rib waveguides,” J. Opt. Soc. Am. A 21(1), 113–121 (2004). [CrossRef]   [PubMed]  

40. M. N. Sysak, D. Liang, R. Jones, G. Kurczveil, M. Piels, M. Fiorentino, R. G. Beausoleil, and J. E. Bowers, “Hybrid Silicon Laser Technology: A Thermal Perspective,” IEEE J. Sel. Top. Quantum Electron. 17(6), 1490–1498 (2011). [CrossRef]  

41. I.-S. Chung and J. Mork, “Silicon-photonics light source realized by III–V/Si-grating-mirror laser,” Appl. Phys. Lett. 97(15), 151113 (2010). [CrossRef]  

42. C. Zhang, D. Liang, G. Kurczveil, J. E. Bowers, and R. G. Beausoleil, “Thermal Management of Hybrid Silicon Ring Lasers for High Temperature Operation,” IEEE J. Sel. Top. Quantum Electron. 21(6), 1502307 (2015).

43. M.-S. Park, D.-M. Geum, J. H. Kyhm, J. D. Song, S. Kim, and W. J. Choi, “InGaP/GaAs heterojunction phototransistors transferred to a Si substrate by metal wafer bonding combined with epitaxial lift-off,” Opt. Express 23(21), 26888–26894 (2015). [CrossRef]   [PubMed]  

44. D.-M. Geum, M.-S. Park, J. Y. Lim, H.-D. Yang, J. D. Song, C. Z. Kim, E. Yoon, S. Kim, and W. J. Choi, “Ultra-high-throughput Production of III-V/Si Wafer for Electronic and Photonic Applications,” Sci. Rep. 6(1), 20610 (2016). [CrossRef]   [PubMed]  

45. B. Salazar-Hernandez, M. A. Vidal, H. Navarro-Contreras, and C. Vazquez-Lopez, “Epitaxial Growth of Strained Ge Films on GaAs(001),” Thin Solid Films 352(1–2), 269–272 (1999). [CrossRef]  

46. V. Emiliani, A. M. Frisch, C. Goletti, N. Esser, W. Richter, and B. O. Fimland, “Ge growth on GaAs(001) surfaces studied by reflectance anisotropy spectroscopy,” Phys. Rev. B 66(8), 085305 (2002). [CrossRef]  

47. E. Maeda, T. Maeda, N. Miyata, T. Yasuda, Y. Kurashima, A. Maeda, H. Takagi, T. Aoki, T. Yamamoto, O. Ichikawa, T. Osada, M. Hata, A. Ogawa, T. Kikuchi, and Y. Kunii, “Wafer-scale layer transfer of GaAs and Ge onto Si wafers using patterned epitaxial lift-off,” Jpn. J. Appl. Phys. 54(3), 036505 (2015). [CrossRef]  

48. S. K. Samanta, S. Maikap, L. K. Bera, H. D. Banerjee, and C. K. Maiti, “Effect of post-oxidation annealing on the electrical properties of deposited oxide and oxynitride films on strained-Si0.82Ge0.18 layers,” Semicond. Sci. Technol. 16(8), 704–707 (2001). [CrossRef]  

49. N. S. Gluck and W. J. Gunning, “Patterned infrared spectral filter directly deposited onto cooled substrates,” Appl. Opt. 28(23), 5110–5114 (1989). [CrossRef]   [PubMed]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (10)

Fig. 1
Fig. 1 (a) The schematic image of suggested GOI rib waveguide platform and (b) Refractive index of Ge, Y2O3, and CaF2 as a function of wavelength. (c) Electric field distribution of fundamental TE mode in Ge waveguide on CaF2.
Fig. 2
Fig. 2 (a) Contour map of neff of the fundamental TE mode in Ge slab waveguide on CaF2 as a parameter of W and H of Ge waveguide at tslab of 80 nm. (b) neff of first two TE mode in Ge waveguide with H of 1 μm and tslab of 80 nm as a function of W. (c) neff of the fundamental TE mode in Ge waveguide as a function of wavelength. (d) tslab dependence of neff of the fundamental TE mode in Ge waveguide with W of 5 μm and H of 1 μm.
Fig. 3
Fig. 3 Logarithmic plot of the light propagation loss of Ge waveguide on GOI platform as a function of BOX (CaF2) thickness for wavelengths of (a) 5 μm, (b) 8 μm, and (c) 12 μm.
Fig. 4
Fig. 4 (a) Simulated bending loss as a function of the bending radius for the 2-μm and 5-μm-width waveguide with 1-μm height. Simulated Light propagation for (b) 5-μm and (c) 2-μm-width waveguide with 1-μm height.
Fig. 5
Fig. 5 Temperature distribution at thermal equilibrium state with a heat source (light source) on the top of (a) conventional SOI platform, (b) GOI platform with CaF2 BOX, and (c) GOI platform with Y2O3 BOX.
Fig. 6
Fig. 6 (a) Temperature increase with an increase of the power of heat source in SOI and GOI platform. (b) Rth values in different waveguide platform. Inset shows the thermal conductivity of each material.
Fig. 7
Fig. 7 Fabrication flow of GOI structure using wafer bonding and layer splitting by selective etching of SiO2 from commercial GOI substrate.
Fig. 8
Fig. 8 (a) Cross-sectional SEM image of fabricated GOI substrates by wafer bonding and splitting techniques. (b) Enlarged SEM image of (a). (c) EDX mapping image of the same sample.
Fig. 9
Fig. 9 Fabrication flow of GOI structure using wafer bonding and layer splitting by selective etching of SiO2 from commercial GOI substrate.
Fig. 10
Fig. 10 Transmittance of CaF2/Ge, CaF2/Ge (deposited at 120°C), Y2O3/Ge, SiO2/Ge, and only Ge substrates measured by FTIR measurement system. Insulator deposition was carried out by EB evaporation.

Equations (1)

Equations on this page are rendered with MathJax. Learn more.

ρ C p T t =( kT )+Q
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.