Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

2.5D+ plasma etching for a continuously adjustable sidewall angle in SiO2

Open Access Open Access

Abstract

We present a systematic investigation of an SiO2 etching process using a standard fluorocarbon chemistry ICP-RIE etch tool with a cryogenically cooled electrode. Our goal is to enable the control of the SiO2 feature morphology, i.e., the sidewall angle, in order to add a degree of freedom for the design of resonant micro-/nanooptical elements. For such elements as e.g., whispering gallery mode resonators with specific mode profiles, it is essential to maintain low surface roughness. To this end, we investigate a variety of gas compositions. For statistical evaluation, we use a surface response methodology for several parameters and investigate the influence of the substrate temperature on the sidewall angle. Different hypotheses from the literature for the cause of non-anisotropic etch behavior are discussed for our specific case. Various investigations based on the prior hypothesis are presented, which provide more information about the pseudo-isotropic etch profile. Finally, we present two use cases: firstly, a classical anisotropic etch with a high aspect ratio and very low roughness (<1 nm), and secondly, an etch process for the fabrication of whispering gallery mode resonators that confine the light at the bottom of the resonator.

© 2023 Optica Publishing Group under the terms of the Optica Open Access Publishing Agreement

1. Introduction

The advances in the micro-/nanostructuring of optical dielectrics have enabled the production of a new generation of elements that go beyond the limits of the classical optical elements [1].

Like most photonic structures, these elements are based on light-matter interaction [2]. As an example, we are focussing on whispering gallery mode resonators. These modes occur in circular dielectric resonators, which rely on the occurrence of total internal reflection at the cavity boundary. Like all resonant elements, the morphology of the resonator itself has a strong influence on the generated mode profile. Different morphologies lead to different mode profiles. Therefore, the electromagnetic energy distribution can be controlled by morphology.

An example for this is the wedge resonator, where the wedge-like shape of the boundaries confines the light at the bottom side of the resonator and minimizes the perturbance due to environmental effects. [3] This wedge-like shape can be realized by a plasma etching process which allows the control of the sidewall angle during the etch process. The fabrication of silica microdisk resonators with a wedge angle using plasma etching has been demonstrated before [4]. However, continuous control of the sidewall angle has not been documented. This was shown for the etching of silicon [5,6], but not for SiO$_2$, which is significantly more challenging to structure due to its chemical and mechanical stability [7].

SiO$_2$ is a widely used material for optical and photonic devices due to its excellent transparency. Tapered sidewall profiles are well documented for the etching of SiO$_2$. However, they are mostly deemed undesirable. We present a method to control the sidewall angle in silicon dioxide microstructures with the etch process parameters using binary masks. Since we are thus extending the previous possibilities of etching by enabling control over the sidewall angle, we refer to our approach as 2.5D+. This possibility will significantly increase the degrees of freedom for the design and fabrication of optical and photonic structures. These new capabilities can enhance the fabrication of optical microresonators, specifically whispering gallery resonators, at wafer scale while controlling the sidewall angle and maintaining very low sidewall roughness. But also in complex diffractive optical elements, 2.5D+ features can enable new functionalities.

These capabilities to create structures with variable sidewall angles could be used in further applications where control of the sidewall angle can lead to a further design parameter. The flexibility of this approach is visible in Fig. 1. This innovative approach can also be used for applications like integrated microprisms or retoreflectors. Furthermore, an application for microfluidic devices would be imaginable for the fabrication of wedge or bow-shaped trenches in SiO$_2$.

 figure: Fig. 1.

Fig. 1. SEM image of ${8}\;\mathrm{\mu}\textrm {m}$ deep anisotropic structure in SiO$_2$ on the left side, which is a good demonstration for the fabrication of high aspect ratio optical structures with very low roughness and an SEM image of a ${2}\;\mathrm{\mu}\textrm {m}$ thick silicon dioxide whispering gallery resonator on an under etched silicon pad fabricated by the developed etch process with sloped sidewalls at different temperatures like proposed in [8]. This is an excellent example of the variety of optical or photonic elements which we are aiming to fabricate.

Download Full Size | PDF

In this contribution, we present a systematic investigation of fluorocarbon-based SiO$_2$ etch processes in an ICP RIE etch tool, which allows one to narrow the parameter space by an "educated guessing" approach until state-of-the art design of experiments method are reasonably applicable.

For optical surfaces, a roughness of $R_a<\frac {\lambda }{10}$ is often sufficient to limit wavefront perturbations in a "single pass element" [9]. However, when working with resonant elements, one must consider that the light-surface interaction is intensified due to the high number of interactions with the interface. Consequently, the Q-factor, which is a key figure to describe the loss in relation to the energy stored in the resonant system, is severely influenced by the surface quality [10]. Therefore for the fabrication of resonant optical elements, one needs to achieve a minimum surface roughness of $R_a< 1\;\textrm{nm}$ or lower. At this point, other loss effects become dominant, and the surface roughness does not limit the system’s performance or the Q-factor [11].

Another aspect that could hamper the system’s performance are modifications of the dielectric material near the surface due to the ICP-RIE process. The investigation of such damages has become more important for the fabrication of quantum optical systems. Material modifications near the surface can result from the contamination of foreign atoms or damage to the material structure itself induced by ion bombardment. ICP-RIE processes are known to generate such modifications. Techniques to control such effects are important to trigger further progress in the fabrication precision. [12,13]

A comprehensive investigation of the full parameter space when using a state-of-the-art ICP-RIE plant is practically impossible. The Cobra system (PlasmaPro 100 Cobra from Oxford Instruments) e.g. provides 5 continuously tunable parameters like ICP power, gas pressure, RF power, sample temperature, and helium backing as well as up to 8 different gas lines with flow rates up to 200 sccm (CHF$_3$,CF$_4$,C$_4$F$_8$,O$_2$,He,SF$_6$,CH$_4$,Ar). So to fully investigate this parameter space with only 3 levels, we would need $3^{13}=1594323$ runs. This is obviously not feasible.

We present a methodology to structure and sample this huge parameter space with a limited number of experiments. We first narrow the parameter space by an "educated guessing" approach. This was used to select a feasible gas combination and is the basis for more extensive investigation. A detailed study of the parameter correlations via a "design of experiment" approach, specifically a surface response methodology (SRM), then allows us to create a black box model for our target terms. Based on this, we are then able to perform optimizations of various parameters. Furthermore, it allows us to predict specific parameters such as sidewall angle and roughness. Of course, these predictions must then be validated before the model can be applied correctly.

In the following sections, we present a systematic investigation of a fluorocarbon-based etch process that enables the control of sidewall angle and profile in a certain range. We use a surface response methodology to predict the taper angle of the sidewalls while maintaining feasible etch rates and very low surface roughness. In addition, we find a strong correlation between temperature and sidewall profile. We present previous explanations for tapered etch profiles and validate if they are suitable for this specific case.

During our research, we observe a curved etch profile with absent mask undercutting, which has not been reported before for the etching of SiO$_2$ and is coined "pseudo-isotropic". We conduct further investigations on this etch profile to gather information on its origin.

In the following section, we describe shortly the mechanisms of SiO$_2$ etching using fluorocarbon feed chemistry, highlight the role of the fluorocarbon film and explain our "educated guessing" approach for the gas selection. Then we describe our methodology for the selection of a suitable gas combination and start parameters for the etch process. We then conduct a 20-Run SRM to investigate the influence of: ICP Power, RF Power, and pressure on the sidewall profile. The results will be used to predict the sidewall angle compared to the experiment to check if this result is repeatable. After this, we investigate the temperature influence on the process separately and show how important the sample temperature is for controlling the sidewall angles. Finally, we report our observations during our trials and end with a short conclusion.

2. Role of fluorocarbon films for the etching of silicon dioxide

Extensive research has been performed to understand the reactions and mechanisms for the etching of SiO$_2$ [1417]. It is well documented that the fluorocarbon film (FC) is vital for the etching since it is a prerequisite for the reaction of silicon dioxide with FC.

The fundamental reaction can be summarized as follows. The etch gases form an FC film on the SiO$_2$ surface. This polymer then interacts with the surface through various mechanisms, which lead to an FC-SiO$_2$ interaction. This allows the formation of SiFxCOy-like compounds. By interacting with the FC layer, the COy can be desorbed and removed. This results in SiFx as the remaining compound, which then can be transported in the gaseous phase from the wafer surface by chemical sputtering or atomic fluorine etching and thus enables the etching. [15,18].

Depending on the process parameters, one can operate such a process in three different regimes, which are dominated by different processes. One of these regimes does not enable the etching of silicon dioxide and is called the deposition regime. In this regime, no substrate etching occurs, but a net deposition of the fluorocarbon layer occurs. There is also a sputtering regime in which the dominant material removal mechanism is chemical sputtering. The fluorocarbon film, in this case, is very thin and thus cannot dissipate much of the energy of the incoming ion bombardment. [14]

The regime in between those two is called the suppression regime. In this regime, fluorocarbon growth and consumption take place simultaneously, which typically results in a highly fluorinated FC film on the substrate surface. The effect of the ion bombardment on the SiO$_2$ is decreasing with increasing fluorocarbon film thickness. The film can reach a thickness where the ion bombardment does not reach the substrate anymore. However, the film also dampens the flux of radicals and neutrals to the wafer surface. Research has shown that the ion bombardment of the FC film can lead to a release of atomic fluorine, which can support the etching. [14,19]

The fluorocarbon layer itself attracted scientific attention in recent years due to potential applications e.g. as a low k dielectric. Several investigations have been conducted to study the properties and behavior. [20,21] These studies show a strong temperature dependence of the materials and displayed an inverse correlation between the refractive index and the fluorine content. The refractive index and the deposition rate correlate inversely, which indicates that a thicker FC film has typically a high $\frac {F}{C}$ ratio which facilitates chemical etching.

Research has been performed on the relationship between etch rates and sidewall angle. However, the sidewall angle was not controlled by the process parameters but with a Faraday cage system. It has been found that for sidewall angles smaller than 90° the bottom etch rate decreases [22]. Another study systematically investigated an etch process for the fabrication of planar lightwave circuits. The sidewall angle has been found to be dependent on mask degradation. The results suggest that the mask erodes faster at the corners than at the center which then results in a slanted sidewall [23]. In another publication, it has been shown that the substrate temperature can be used to create a tapered etching profile. This was attributed to a change in the FC film deposition when lowering the temperature as described above. The FC film deposited on the sidewall protects the substrate from redeposition. If the temperature is reduced even further, the etch rate remains constant. This is attributed to the composition of the deposited FC film, which enhances the etching due to its high fluorine content [24].

In conclusion, numerous aspects of SiO$_2$ etching are investigated in detail. However, areas outside of standard requirements like high selectivity, high etch rates, vertical sidewalls, and high aspect ratio features, have not been studied in detail. While some investigations focus on a fundamental understanding, the understanding is not yet comprehensive due to the complexity of the processes involved.

3. Experiments

In order to investigate the possibility to control sidewall angles and profiles we conduct systematic experiments. In these experiments, we use two different types of substrate, a ${2}\;\mathrm{\mu}\textrm {m}$ SiO$_2$ film created by wet thermal oxidation on a <100> silicon substrate and a commercial fused silica substrate (Siegert Wafer). For the etch process, we used a hard mask consisting of 200 nm Al which is deposited by electron beam evaporation and structured using a standard lift-off technique. While using an i-line Mask Aligner and a negative tone resist, we achieve a resolution down to 700 nm. We use a test mask to cover a wide variety of structures which allows us to observe shape or feature size-dependent effects. To minimize the consumption of whole wafers, the majority of this work is performed on chips with the sizes of 20 mm x 10 mm. The chips are placed on a carrier wafer and contacted with vacuum-compatible grease to enable thermal contact.

Furthermore, we replicate some of the processes on wafer scale and compare them to the chip process. While the etch rate varies more significantly, the deviation in the etch profile which is the focus of this study is generally negligible. Our investigation mainly focuses on features with sizes ranging from ${0.5}\;\mathrm{\mu}\textrm {m}$ to ${10}\;\mathrm{\mu}\textrm {m}$ and varying gap sizes between the feature elements. Furthermore, we compare positive and negative tone structures to monitor differences.

The standard process time for the experiments is 20 min based on the etch rate of the starting process. We then examine the target parameters directly after the etching. For some samples, we apply a 5min plasma cleaning process using O$_2$ to remove the steady state carbon film and then examine the samples again using the same procedure. This treatment was only necessary for the samples processed at very low temperatures.

4. Specifications

For a successful process development, it is important to define the exact specifications of what is needed. For this study, the mandatory specifications are precisely controlled sidewall angles and a roughness better than $R_a <$ 1 nm on the etching floor and as well as the sidewalls.

4.1 Sidewall angle

We examine the etched samples using a scanning electron microscope (SEM, Hitachi S-4800). We measure the size of the test structure at the top and at the bottom, which is quite feasible for samples with an angle smaller than 85$^{\circ }$. For angles larger than 85$^{\circ }$ we measure the width of the test structure using a side view by tilting the sample. We characterize test structures consisting of several rectangles with different sizes and pitches as described above and shown in Fig. (2(b)). This allows us to immediately identify deviating etching behavior depending on the aspect ratios. Even though we notice that some of the structures exhibit curved sidewall angles (without underetching of the mask) for further consideration we consider the linearized sidewall angles.

 figure: Fig. 2.

Fig. 2. Sketch of the used measurement procedure and the test structure to determine the sidewall angle. The test structures size varies from ${0.5}\;\mathrm{\mu}\textrm {m}$ to ${10}\;\mathrm{\mu}\textrm {m}$ with an overall period of ${10}\;\mathrm{\mu}\textrm {m}$

Download Full Size | PDF

4.2 Roughness

The roughness of the etched samples is measured using a white light interference microscope (Veeco NT 9300) at two fixed points on the sample. For some samples, we check the measurement using an AFM. Due to time and cost constraints, this is not possible for every sample. However, we find no significant deviations between the two measurement concepts.

Based on the SEM images of the sidewall profiles we subjectively evaluate the sidewall profiles and rate them with a score from 1-10 with a higher score being a better result. For some samples, it is possible to acquire an AFM measurement of the sidewall profile and roughness. However, since these measurements are difficult to reproduce we decided to not integrate them into the SRM model. Another score was introduced to show the amount of micromasking. Also, a score was rewarded from 1-10 with 10 being the best score and representing no micromaskings.

For a reasonable starting point, we orient at well-known etching processes.. CHF$_3$ is a common etch gas for the etching of silicon dioxide and exhibits a suitable C:F ratio. We choose a total gas flow of 50 sccm which allows us to reach low pressures (5 mtorr) while maintaining enough species for etching. The ICP Power is chosen to be 700 W which for this reactor type exhibits good homogeneity and stability. We then choose an RF power of 12 W which creates a DC bias value of about 120 V to limit the amount of pure sputtering.

5. Etchgas selection

The etch gas plays a crucial role for the success of the etch process since it determines the number of excitable species in the process. There are several factors to be considered in this context which are evaluated in Table 1. We based this evaluation primarily on the sidewall angle, while also considering the sidewall profile roughness and the amount of micromasking on the etched samples. We created an evaluation matrix that awards a score for the sidewall angle after the following metric: $S_{1}={90}^{\circ }- X^{\circ }\cdot 0.22$. So a sidewall angle of ${45}^{\circ }$ would be awarded 10 points while an angle of ${90}^{\circ }$ 0 points. For sidewall profile and micromasking, a score of 1-10 was awarded as well. The overall score was calculated by $S_{A}=S_1+S_2+S_3$. The described process is visualized in Fig. 5. This procedure is performed in three different steps. The other process parameters (e.g. ICP, RF, p, total gas flow, and temperature) are selected and kept constant. Obviously, this assumption limits the parameter space.

Tables Icon

Table 1. Overall Score is calculated by $S_A=S_1 +S_2+S_3$. This evaluation matrix helps to capture the subjective impression gained while examining multiple SEM images for each sample which unfortunately could not all be shown due to lack of space.

Tables Icon

Table 2. Parameter range investigated using the Surface Response Methodology with $\alpha$ as the parameter level value.

Since we know that fluorocarbon gas is necessary for the etching of silicon dioxide we investigate three different main gases: CHF$_3$ C$_4$F$_8$ and CF$_4$. The ratio of C to F determines how strong the gas deposits FC films. This is an explanation for the missing value for C$_4$F$_8$. This gas is often used in modern etch tools in combination with Ar and O$_2$ or CO [25]. But due to the high deposition rates of FC films using C$_4$F$_8$ this is often not the gas with the highest gas feeds. Using C$_4$F$_8$ as the main gas is not a viable option for this specific case. When comparing CHF$_3$ and CF$_4$ we choose CHF$_3$ due to the better result. The sidewall angles are depicted in Fig. 3 and the overall score in Table 1. So for the next step, we investigate if an additional gas can improve this process. Research shows that an addition of H$_2$ or O$_2$ can change the amount of CFx species and therefore influence the etch process and the selectivity to Si or Si$_3$N$_4$ [19,26]. We used a 25% gas addition while maintaining an overall gas flow of 50 sccm. For the addition of C$_4$F$_8$ we observed an interesting sidewall profile which exhibited a bowed profile with nearly ${90}^{\circ }$ sidewalls at the top and around ${45}^{\circ }$ at the bottom and overall a good score. Due to the absence of a H$_2$ gas inlet at our etch tool, we decided to tune the ratio of CF to H by tuning the ratio of CHF$_3$ to C$_4$F$_8$. Due to this fact and the overall good score (Table 1) we choose C$_4$F$_8$ as a second gas. CH$_4$ is another interesting candidate but for safety reasons, it is not possible to combine it during a process with O$_2$ due to explosion hazards. CF$_4$ is a viable option as well concerning the sidewall profile and micromasking score. However, since the sidewall angle is nearly ${90}^{\circ }$ we discarded it in this study. The combination of CHF$_3$ and CF$_4$ is however an ideal candidate for classical etching and is still widely used.

 figure: Fig. 3.

Fig. 3. Boxplot of the measured sidewall angles for the three steps of the etch gas selection. The box itself corresponds with the range in which 50% of all measurement data lies which is called interquartile range, so the distance between the 25th and 75th quartile. The white circle represent outlier measurement. The elements outside the box are called whiskers and represent are 1.5 times the interquartile range. While the little circles represent the 0th or 100th quartile therefore maxima or minima which are not within the interquartile range.

Download Full Size | PDF

 figure: Fig. 4.

Fig. 4. Processflow schematic of the evaluation process for the etch gas selection. This process was conducted for every listed etch gas combination. The results were then collected and compared in Table 1. The input etch gas variations contains all the possible etch gas parameters which are to be investigated. Results from this processflow are the values gained for the evaluation matrix shown in Table 1.

Download Full Size | PDF

 figure: Fig. 5.

Fig. 5. Processflow schematic of the selection process to determine the etch gas. Process result are the output of the process flow in Fig. 4. The score for the sidewall angle was calculated while the score for sidewall roughness and micromasking were obtained by a subjective evaluation of the SEM images. This process was conducted three times so for every step of the etch gas selection process.

Download Full Size | PDF

We also consider a three-gas combination. The overall gas flow remains at 50 sccm and a 75 % content of CHF$_3$ left a portion of 12.5 % for the second and third gas. Many combinations lead to a strong micromasking and even the formation of silicon dioxide "grass-like" structures, clearly visible in the poor micromasking scores in Table 1. SF$_6$ is this leads to a strongly increased Si etch rate such that the process would not stop on Si like the processes with O$_2$ addition. O$_2$ seems like the best combination for our goal to gain control of the sidewall angle. Obviously, this is by no means a complete investigation of all possible gas combinations. In a further parameter variation, we investigate the absolute and relative gas flows. Firstly the total gas flow is varied while keeping the ratios constant. We test 25 sccm and 75 sccm to compare them with the 50 sccm result. The results are shown in Fig. 6. We decide to stick with 50 sccm.

 figure: Fig. 6.

Fig. 6. Box-plots of different parameter variations to test the influence of the total gas flow and the feed gas composition on the sidewall angle. These tests were used to validate the chosen parameters. The overall gas flow remained 50 sccm and the gas composition 40/5/5 (sccm)

Download Full Size | PDF

In the second step, we investigate different ratios of the three gases. It appears that for our goals, the total gas flow and the gas composition are fairly suitable, as shown in Fig. 6 on the right side. Therefore we chose a gas combination of: 40 sccm CHF$_3$, 5 sccm C$_4$F$_8$ and 5 sccm O$_2$.

6. Surface response methodology

In order to statistically evaluate the experimental results of our experiments we use a 20 Run Surface Response Methodology (SRM) for the three parameters ICP Power, RF Power, and pressure within the range depicted in Table 2. This SRM allows us to model the relationships between input and response variables. Like every statistical method this is merely an approximation that can help to understand and improve the process for our specific goals [27]. An SRM is a powerful tool that enables not only the attainment of optimum conditions within the chosen parameter space but also an estimation of the effect of fluctuations on the model. Different from e.g. a factorial design, the SRM approach allows one to fit a full quadratic model and therefore model the first and second-order terms as well as the interaction of the factors. Due to the rotatability of the SRM, the prediction precision is equal for all equidistant points from the design center. Naturally points with a maximum distance from the center exhibit maximal prediction errors.

For the planning and evaluation of this study, we use the software tool Minitab. Due to the likely occurrence of higher order or nonlinear terms caused by the underlying complex physical processes, we chose a central composite design with five levels and $\alpha =\left [2^k\right ]^{1/4}$ $\alpha =1.683$ for $k=3$. A composite design is the result of a factorial design combined with a set of center points and axial points. $\alpha$ is the distance between the center and the star points. The following model terms are created as shown in Eq. (1). We choose a sample temperature of −20 °C. The resulting main effects plot obtained by the evaluation of the SRM in Minitab is shown in Fig. 7 and the coded coefficients depicted in Table 3.

 figure: Fig. 7.

Fig. 7. Main effects plot for sidewall angle obtained by evaluating the 20 Run SRM in Minitab. The main effect for RF and ICP suggests a nearly linear correlation, whereas the pressure exhibits a maximum at 6 mtorr.

Download Full Size | PDF

Tables Icon

Table 3. Coded coefficients obtained by Minitab for the sidewall angle. Coef is an abbreviation for coefficient. The coefficient describes the magnitude and direction of the influence on the response variable. To minimize multicollinearity between terms, all coefficients are expressed in coded units. SE is an abbreviation for the standard error of the coded coefficient. T-value represents the ratio of the coefficient and its standard error obtained from the Student’s t-test. P-value is a measure against the probability that the null hypothesis is true. Therefore a p-value of zero means there is no influence between term and response variables. Finally, VIF is a measure for the degree of multicollinearity. A VIF of one means there is no multicollinearity, while a VIF greater than one signalizes a degree of multicollinearity.

In order to validate the model, we conduct an out-of-sample test. We use the surface response model to optimize the process for low roughness and high etch rate. We then use the proposed process parameters, perform the experiment (i.e. etch a sample) and predict the response variables to check if our model is valid. Using the model terms for the process parameters referred to as RunA (ICP=1000 W, RF=20 W and p=10 mTorr) we predict a sidewall angle of ${67.96}^{\circ }$ with a standard error of ${1.7}^{\circ }$. The experimentally achieved sidewall angle is ${68.3}^{\circ }$ with a standard error of ${1.035}^{\circ }$. Using the model terms for the process parameters referred to as RunB (ICP=1420 W, RF=28 W and p=13 mTorr) we predict a sidewall angle of ${76.8}^{\circ }$ with a standard error of ${5.528}^{\circ }$. In the experiment, the sidewall angle is ${74}^{\circ }$ with a standard error of ${1.2}^{\circ }$. This demonstrates that the achieved experimental results are well within the predicted confidence interval. In order to assess the stability of the process we repeat the same process for 3 times at different days. The result is a mean angle of $\alpha$=${74.1}^{\circ }$ with a standard error of ${2.623}^{\circ }$. These experiments demonstrate that the model is valid within the explored parameter space and enables experimental results well within the model’s standard error. While the parameters of RunA are exactly at the center point of the central composite design displaying the smallest error, the parameters of RunB are at the extreme points and therefore have a larger uncertainty.

$$\begin{aligned} \text{Angle in}\; [^{{\circ}}]= &63.4 + 0.0131\,ICP - 4.44\, p + 1.35\, RF + 0.000007\, ICP\cdot ICP - 0.2077\, p\cdot p\\ &- 0.0108\, RF\cdot RF+ 0.00292\,ICP\cdot p - 0.002212\,ICP\cdot RF + 0.2118\,p\cdot RF \end{aligned}$$

7. Temperature behavior

In order to investigate the role of the sample temperature on the side wall angle we apply the same etch process at different temperatures. We use two different sets of parameters, which are created by an optimization of the previously discussed SRM. We start at 40 °C and reduce the temperature to −60 °C or until no etching of the silicon dioxide layer occurs anymore. First, we start with ${20}^{\circ }$ steps which are then reduced to 10 °C in the interesting region. The box-plots of these two investigations are depicted in Fig. 8 and 9. We observe a strong correlation between the sample temperature and the sidewall angle for both parameter sets. The absolute temperature changes but the behavior looks similar which is exemplarily depicted in Fig. 10. The exact mechanism for the origin of this behavior is not clear but one hypothesis is that the surface temperature plays a major role in the surface sticking coefficients which could lead to a stronger FC formation but this will be discussed in the next section. Recent work has shown that one can use cryogenic temperature to deposit an FC film for the atomic layer deposition (ALE) of SiO$_2$ without introducing plasma [20]. We use OES (optical emission spectrometer) to monitor the plasma. We could not perceive any change in the spectrum at different temperatures, which is an indicator that the plasma is not heavily perturbed by the sample temperature.

 figure: Fig. 8.

Fig. 8. Temperature dependency of the sidewall angle for ICP Power=1000 W,RF Power=20 W,p=10 mTorr depicted in a boxplot. The small circles represent outliers during the measurement procedure. The black lines is the result from a linear regression with an $R^2=0.88$ and $y=0.497x+70$ where y represents the sidewall angle in [$^{\circ }$] and x the temperature in [$^{\circ }$C]

Download Full Size | PDF

 figure: Fig. 9.

Fig. 9. Temperature dependency of the sidewall angle for ICP Power=1420 W,RF Power=28 W,p=13 mTorr depicted in a boxplot. The small circles represent outliers during the measurement procedure. The black lines is the result from a linear regression with an $R^2=0.94$ and $y=0.498x+81.21$ where y represents the sidewall angle in [$^{\circ }$] and x the temperature in [$^{\circ }$C]

Download Full Size | PDF

 figure: Fig. 10.

Fig. 10. Experimental demonstration of the influence of the temperature: the figure shows the etching profiles resulting from one and the same plasma etching process. The results exhibit an obvious transition from an anisotropic etch profile (30 °C) to a tapered (0 °C) and finally a pseudo-isotropic (−30 °C) shape. The visible bumps on the etched surface can be attributed to the adhesion material used to contact the chips onto the wafer surface. Replicating those processes on a wafer displayed the same behavior without the bumps.

Download Full Size | PDF

8. Observations

During our experiments, we notice some phenomena which to our current knowledge are not fully understood and will be described in the following. When taking a closer look at Fig. 10 on the right side one can clearly see visible grooves on the "pseudo-isotropic" etch profile. We use the term "pseudo-isotropic" because the etch process is not isotropic since this would mean that we underetch the mask resulting in a classical mask undercut. However, the bowed profile looks like an isotropic one. Therefore we coined the term "pseudo-isotropic" etch profile.

When looking at the two Fig. 8 and 9 we see the temperature dependence of the sidewall angles for two different parameter sets RunA and RunB showing a good agreement with the fitted linear regression. There we observe a nearly similar gradient factor. This indicates that the gradient is not process-specific. Since only two data points do not allow for a qualified statement so that this issue needs further investigation. However, at low temperatures, we notice a strong drop in the sidewall angle. When using lower temperatures than displayed in the graph, the result is a deposition of a fluorocarbon film without etching of SiO$_2$. This indicates that at this temperature the film is thick enough that the ion bombardments cannot reach the wafer surface to enable etching.

In Fig. 10 we see the profile evolution from a clearly anisotropic profile (with nearly vertical sidewalls) to tapered sidewalls. When lowering the temperature even further we see the so-called "pseudo-isotropic" profile. This indicates that the dominant etch mechanisms are continuously shifted as the sample temperature decreases. On some of the samples containing the pseudo-isotropic profile, there is a layer of FC left which only covered the sidewalls, the hard mask was still exposed and the FC formed a wedge-like structure. However, after a quick O$_2$ cleaning step, this can be easily removed and the pseudo-isotropic profile is left. When taking a closer look at the pseudo-isotropic etch profile as shown in Fig. 10 on the right side we see a ripple structure visible on the SEM image.

We can verify the grooves with an AFM measurement which reveals an average height of about 10 nm and an average period of around 500 nm. However, these grooves are not occurring at every etched sampled within our investigation. It seems like these grooves appear when the sidewall profile exhibits the pseudo-isotropic etch profile. The shape of the ripples varied in their height, number and pitch. The same process leads to the same type of ripples on the profile. The ripples seem to be a "byproduct" of the pseudo-isotropic etch profile and may be a key to understanding the origins of the pseudo-isotropic etch profile. Similar etch profiles have been observed by the Economou group in the investigations on photon-assisted etching. However, they report it for the etching of silicon using a chlorine feed gas chemistry [28].

We use the same process on a fused silica substrate with a thickness of 1 mm and observe similar results. Since the thermal conductivity of a ${525}\;\mathrm{\mu}\textrm {m}$ 100 silicon wafer ($T_C ={100}\;\textrm{W}\;\textrm{m}^{-1}\;\textrm{K}^{-1}$) [29] with a ${2}\;\mathrm{\mu}\textrm {m}$ SiO$_2$ layer is vastly different compared to a fused silica substrate($T_C = {2}\;\textrm{W}\;\textrm{m}^{-1}\;\textrm{K}^{-1}$) [30] a temperature-dependent effect would produce different results on these two substrates. For this reason, it is unlikely that the observation is caused by thermal effects. This profile also occurs when etching different depths and is not overetch sensitive.

We investigate the sidewall roughness using an AFM which performs a line scan parallel to the edge and obtain a sidewall roughness $R_a$ in the range of 6 nm to 1 nm. There are some ripples visible on the SEM images. We assume that this sidewall roughness is caused by the aluminum mask due to the formation of clusters. However further investigations are necessary to prove this assumption. Hardmasks like AlN or Al$_2$O$_3$ seem to be well suited alternatives for this task [31]. Weigel et al. [32] proposed an explanation for the tapered sidewall profile. They trace the source of tapered sidewall angles back to redepositions of nonvolatile reaction products. Therefore we planned to perform an analysis of the material composition of the etched sidewalls. We should be able to find a different material composition between the anisotropic and pseudo-isotropic profile and the pseudo-isotropic profile should contain atoms other than Si and O like C, F or Al due to the mask material. However, this is not the case considering the molecular composition of the sidewall which was examined by EDX (Energy-dispersive X-ray spectroscopy) and AES (Auger electron spectroscopy). Both investigations only find Si and O at the etched sidewall structures for every type of investigated profile. The AES measurement reveals that there is pure oxygen and silicon bound to oxygen and therefore SiOx, which is depicted in Fig. 11. So the hypothesis that the tapered sidewalls are caused by potentially nonvolatile reaction products can be discarded for this case. Furthermore, these measurements show that no atomic contamination of the SiO$_2$ surface occurs. This indicates that the surface is protected from direct sputtering which explains that the etching damage is very small or not nonexistent. This has already been observed for the etching of SiO$_2$ at low temperatures and can be supported by this work [33]. To further validate this hypothesis, measurements are needed with a lower detection limit for material composition or optical measurements like Raman spectroscopy or Secondary ion mass spectrometry. Especially for quantum systems the capability to structure dielectrics while limiting interface damage can be highly valuable [34].

 figure: Fig. 11.

Fig. 11. SEM Image of the investigated etched structure. The spectra were acquired at an accelerating voltage of 10 keV and a primary electron beam current of approximately 8 nA and a beam diameter of approximately 30 nm with an incident angle of ${60}^{\circ }$ with respect to the sample surface normal using a Thermo VG Scientific Microlab 350 instrument. The AES measurement is depicted in the diagram on the right side with two plots for each point visible on the lift image. The doted line indicates the measured peaks with the corresponding finding. The measurement shows no elements beside Si which is bound to O and O

Download Full Size | PDF

Tapering of the sidewall profile of an etched silicon dioxide sample was documented by Nagy et al. [35]. However, they observed only the linear angled sidewall profile without any bowing or ripples. In this publication, several possible explanations were proposed like the influence of the mask profile and the selectivity. They propose that a tapered mask will replicate itself in the etch profile. Since we could not observe any tapering in our mask and since the selectivity is reasonably high (> 51), it is not a viable explanation in this case. Furthermore, this behavior could be replicated using a resist mask with a selectivity of around 1.

Another possible explanation could be the charging of the dielectric structures which influences the ion energy distribution. However considering that the plasma parameters are kept constant, the dielectric properties of the deposited FC layer are dependent on the sample temperature and might be the cause for this behavior.

The non-existent undercut points out that we do not see a purely chemical etching of the sample. A potential explanation is that the lowering of the temperature increases the influence of the fluorocarbon film, which then inhibits the direct ion bombardment and facilitates a pseudo-isotropic etch profile. However, the FC layer also inhibits the diffusion of neutrals and radicals, which has been described in the aforementioned suppression regime. A highly fluorinated FC layer can increase the chemical etching due to the defluorination under ion bombardment which creates atomic fluorine and acts as a reservoir providing reactive species near to the surface. Previous studies have shown that the sticking coefficient increases strongly when lowering the temperature [20,21]. It remains unclear what exact mechanisms in the deposited FC layer cause the evolution from a tapered to a pseudo-isotropic etch profile.

We demonstrate that we can achieve good results for "classical" etching using a temperature of 30 °C. The sidewalls are nearly vertical as shown in 1 on the left-hand side. We measure the roughness of the etched bottom with a white light interference microscope and an AFM to verify the measurements. We achieve a roughness of $Ra\leq 1\;\textrm{nm}$ which is a quite good result. The selectivity of the optimized etch process is determined to be greater than 75 for RunA (30 °C for ICP=100 W, RF=20 W and p=10 mTorr). We have not yet investigated how temperature influences the selectivity of the process.

9. Conclusion and discussion

We present a method to control the sidewall angle of SiO$_2$ during etching while maintaining a low surface roughness of $R_a\leq 1\;\textrm{nm}$ using a standard ICP-RIE tool (COBRA 100) from Oxford Instruments. Starting from existing processes we conducted a systematic investigation of the feed gas composition, here CHF$_3$, C$_4$F$_8$, and O$_2$. We investigate the process parameters ICP power, pressure and RF Power with a surface response methodology (SRM) and analyze the effect of the substrate temperature separately. The SRM model has been tested by predicting a sidewall angle of ${76.8}^{\circ }$ with a mean error of ${5.53}^{\circ }$ for the following parameters (RunB: ICP=1420 W, RF=28 W and p=13 mTorr). This prediction matches the experimental results nicely where we measured a sidewall angle of ${74.1}^{\circ }$ with a mean error of ${2.623}^{\circ }$. The model is thus in good agreement with the experiment. The achievable range of sidewall angles for microstructures with small surface roughness of achievable by only varying ICP, RF and p spans from ${55}^{\circ }$ to ${75}^{\circ }$.

The temperature dependence of the sidewall angle is tested for two different sets of parameters. For both, we find a strong linear correlation in a broader range than for the SRM model. This allows us to control the sidewall angle in a range from ${60}^{\circ }$ to ${90}^{\circ }$. For the aforementioned set of parameters (RunB) we found a regression of $y=0.498*x+81.213$ with y as the resulting sidewall angle and x the sample temperature $R^{2}=0.94$ and a high agreement with linear regression.

A viable approach is to use the SRM model to minimize the surface roughness and the temperature to control the sidewall angle. During our investigation, we found a curved etch profile. This profile was called "pseudo-isotropic" since is has similarities to isotropic ones but it does not exhibit a mask undercut. This profile is accompanied by ripples on the curved profile. The ripples exhibited a typical height of app. 10 nm and a pitch of app. 500 nm.

In future investigations, we will focus on possible explanations for this behavior. Literature indicates that the composition and, therefore properties of the fluorocarbon layer shift at low temperatures to a higher fluorine content. This is assumed to facilitate chemical etching during ion bombardment. Further investigations are necessary, especially concerning the composition of the fluorocarbon film at lower temperatures. This will help to better understand the underlying etch mechanics for this configuration.

Funding

Deutsche Forschungsgemeinschaft (GRK2182)).

Acknowledgments

The authors gratefully acknowledge the support by the Deutsche Forschungsgemeinschaft (DFG) in the framework of Research Training Group "Tip- and laserbased 3D nanofabrication in extended macroscopic working areas" at the Technische Universität Ilmenau, Germany and especially Jaqueline Staufenberg for providing AFM measurements of sidewall roughness, Dr Gernot Ecke for providing the AES analysis and Support by the Center of Micro- and Nanotechnologies (ZMN) (DFG RIsources reference: $\text {RI}_00009$), a DFG-funded core facility (Grant No. MU 3171/2-1 + 6-1, SCHA 632/19-1 + 27-1, HO 2284/4-1 + 12-1) of the TU Ilmenau, is gratefully acknowledged"

Disclosures

The authors declare no conflicts of interest.

Data availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

References

1. P. Lalanne and P. Chavel, “Metalenses at visible wavelengths: past, present, perspectives,” Laser Photonics Rev. 11(3), 1600295 (2017). [CrossRef]  

2. D. Wang, J. Guan, J. Hu, M. R. Bourgeois, and T. W. Odom, “Manipulating light–matter interactions in plasmonic nanoparticle lattices,” Acc. Chem. Res. 52(11), 2997–3007 (2019). [CrossRef]  

3. C. Chen, L. Wan, H. Chandrahalim, J. Zhou, H. Zhang, S. Cho, T. Mei, H. Yoshioka, H. Tian, N. Nishimura, X. Fan, L. J. Guo, and Y. Oki, “Effects of edge inclination angles on whispering-gallery modes in printable wedge microdisk lasers,” Opt. Express 26(1), 233–241 (2018). Publisher: Optica Publishing Group. [CrossRef]  

4. G. Li, P. Liu, X. Jiang, C. Yang, J. Ma, H. Wu, and M. Xiao, “High-q silica microdisk optical resonators with large wedge angles on a silicon chip,” Photonics Res. 3(5), 279–282 (2015). [CrossRef]  

5. V. Ishchuk, D. L. Olynick, Z. Liu, and I. W. Rangelow, “Profile simulation model for sub-50 nm cryogenic etching of silicon using SF6/O2 inductively coupled plasma,” J. Appl. Phys. 118(5), 053302 (2015). [CrossRef]  

6. Z. Liu, Y. Wu, B. Harteneck, and D. Olynick, “Super-selective cryogenic etching for sub-10 nm features,” Nanotechnology 24(1), 015305 (2013). [CrossRef]  

7. D. Flamm, C. Mogab, and E. Sklaver, “Reaction of fluorine atoms with SiO2,” J. Appl. Phys. 50(10), 6211–6213 (1979). [CrossRef]  

8. A. Behrens, M. Bosch, P. Fesser, M. Hentschel, and S. Sinzinger, “Fabrication and characterization of deformed microdisk cavities in silicon dioxide with high q-factor,” Appl. Opt. 59(26), 7893–7899 (2020). [CrossRef]  

9. J. Bennett and L. Mattsson, “Introduction to surface roughness and scattering,” Introduction to Surface Roughness and Scattering by Jean Bennett (1989).

10. C. Ciracì, F. Vidal-Codina, D. Yoo, J. Peraire, S.-H. Oh, and D. R. Smith, “Impact of surface roughness in nanogap plasmonic systems,” ACS Photonics 7(4), 908–913 (2020). [CrossRef]  

11. A. V. Yakuhina, A. S. Kadochkin, D. V. Gorelov, V. V. Svetukhin, S. S. Generalov, and V. V. Amelichev, “Influence of the surface roughness of a silicon disk resonator on its q-factor,” in Photonics, vol. 8 (Multidisciplinary Digital Publishing Institute, 2021), p. 225.

12. A. Martin, “Review on the reliability characterization of plasma-induced damage,” J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct.--Process., Meas., Phenom. 27(1), 426–434 (2009). [CrossRef]  

13. N. Kuboi, T. Tatsumi, S. Kobayashi, J. Komachi, M. Fukasawa, T. Kinoshita, and H. Ansai, “Numerical simulation method for plasma-induced damage profile in SiO2 etching,” Jpn. J. Appl. Phys. 50(11R), 116501 (2011). [CrossRef]  

14. M. Schaepkens and G. S. Oehrlein, “A review of SiO2 etching studies in inductively coupled fluorocarbon plasmas,” J. Electrochem. Soc. 148(3), C211 (2001). [CrossRef]  

15. D. Zhang and M. J. Kushner, “Investigations of surface reactions during C2F6 plasma etching of SiO2 with equipment and feature scale models,” J. Vac. Sci. Technol., A 19(2), 524–538 (2001). [CrossRef]  

16. F. Gaboriau, G. Cartry, M. Peignon, and C. Cardinaud, “Etching mechanisms of si and SiO2 in fluorocarbon icp plasmas: analysis of the plasma by mass spectrometry, langmuir probe and optical emission spectroscopy,” J. Phys. D: Appl. Phys. 39(9), 1830–1845 (2006). [CrossRef]  

17. P. Vanraes, S. Parayil Venugopalan, and A. Bogaerts, “Multiscale modeling of plasma–surface interaction—general picture and a case study of si and SiO2 etching by fluorocarbon-based plasmas,” Appl. Phys. Rev. 8(4), 041305 (2021). [CrossRef]  

18. A. Sankaran and M. J. Kushner, “Fluorocarbon plasma etching and profile evolution of porous low-dielectric-constant silica,” Appl. Phys. Lett. 82(12), 1824–1826 (2003). [CrossRef]  

19. K. Kirmse, A. Wendt, S. Disch, J. Wu, I. Abraham, J. Meyer, R. Breun, and R. C. Woods, “SiO2 to si selectivity mechanisms in high density fluorocarbon plasma etching,” J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct.--Process., Meas., Phenom. 14(2), 710–715 (1996). [CrossRef]  

20. G. Antoun, T. Tillocher, P. Lefaucheux, J. Faguet, K. Maekawa, and R. Dussart, “Mechanism understanding in cryo atomic layer etching of SiO2 based upon C4F8 physisorption,” Sci. Rep. 11(1), 357 (2021). [CrossRef]  

21. T.-C. Wei and C.-H. Liu, “Evaluation of plasma deposited fluorocarbon films using experimental design methodology,” Surf. Coat. Technol. 200(7), 2214–2222 (2005). [CrossRef]  

22. J.-H. Min, G.-R. Lee, J.-K. Lee, S. H. Moon, and C.-K. Kim, “Effect of sidewall properties on the bottom microtrench during SiO2 etching in a CF4 plasma,” J. Vac. Sci. Technol., B 23(2), 425–432 (2005). [CrossRef]  

23. S.-T. Jung, H.-S. Song, D.-S. Kim, and H.-S. Kim, “Inductively coupled plasma etching of SiO2 layers for planar lightwave circuits,” Thin Solid Films 341(1-2), 188–191 (1999). [CrossRef]  

24. T. Ohiwa, K. Horioka, T. Arikado, I. Hasegawa, and H. O. H. Okano, “SiO2 tapered etching employing magnetron discharge of fluorocarbon gas,” Jpn. J. Appl. Phys. 31(2R), 405 (1992). [CrossRef]  

25. F. Laermer, S. Franssila, L. Sainiemi, and K. Kolari, “Deep reactive ion etching,” in Handbook of Silicon Based MEMS Materials and Technologies, (Elsevier, 2020), pp. 417–446.

26. B. Kastenmeier, P. Matsuo, and G. Oehrlein, “Highly selective etching of silicon nitride over silicon and silicon dioxide,” J. Vac. Sci. Technol., A 17(6), 3179–3184 (1999). [CrossRef]  

27. G. E. Box and K. B. Wilson, “On the experimental attainment of optimum conditions,” in Breakthroughs in Statistics, (Springer, 1992), pp. 270–310.

28. L. Du, D. J. Economou, and V. M. Donnelly, “In-plasma photo-assisted etching of si with chlorine aided by an external vacuum ultraviolet source,” J. Vac. Sci. Technol., B 40(2), 022207 (2022). [CrossRef]  

29. A. C. Sparavigna, “Thermal conductivity of the crystalline silicon,” Philica p. 1143 (2017).

30. P. Combis, P. Cormont, L. Gallais, D. Hebert, L. Robin, and J.-L. Rullier, “Evaluation of the fused silica thermal conductivity by comparing infrared thermometry measurements with two-dimensional simulations,” Appl. Phys. Lett. 101(21), 211908 (2012). [CrossRef]  

31. K. Kolari, “High etch selectivity for plasma etching SiO2 with Aln and Al2O3 masks,” Microelectron. Eng. 85(5-6), 985–987 (2008). [CrossRef]  

32. C. Weigel, H. B. Phi, F. A. Denissel, M. Hoffmann, S. Sinzinger, and S. Strehle, “Highly anisotropic fluorine-based plasma etching of ultralow expansion glass,” Adv. Eng. Mater. 23(6), 2001336 (2021). [CrossRef]  

33. T. Mizutani, T. Yunogami, and K. Tsujimoto, “Lower plasma-induced damage in SiO2/Si at lower temperatures,” Appl. Phys. Lett. 57(16), 1654–1656 (1990). [CrossRef]  

34. C. Babin, R. Stöhr, N. Morioka, et al., “Fabrication and nanophotonic waveguide integration of silicon carbide colour centres with preserved spin-optical coherence,” Nat. Mater. 21(1), 67–73 (2022). [CrossRef]  

35. A. Nagy, “Sidewall tapering in reactive ion etching,” J. Electrochem. Soc. 132(3), 689–693 (1985). [CrossRef]  

Data availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (11)

Fig. 1.
Fig. 1. SEM image of ${8}\;\mathrm{\mu}\textrm {m}$ deep anisotropic structure in SiO$_2$ on the left side, which is a good demonstration for the fabrication of high aspect ratio optical structures with very low roughness and an SEM image of a ${2}\;\mathrm{\mu}\textrm {m}$ thick silicon dioxide whispering gallery resonator on an under etched silicon pad fabricated by the developed etch process with sloped sidewalls at different temperatures like proposed in [8]. This is an excellent example of the variety of optical or photonic elements which we are aiming to fabricate.
Fig. 2.
Fig. 2. Sketch of the used measurement procedure and the test structure to determine the sidewall angle. The test structures size varies from ${0.5}\;\mathrm{\mu}\textrm {m}$ to ${10}\;\mathrm{\mu}\textrm {m}$ with an overall period of ${10}\;\mathrm{\mu}\textrm {m}$
Fig. 3.
Fig. 3. Boxplot of the measured sidewall angles for the three steps of the etch gas selection. The box itself corresponds with the range in which 50% of all measurement data lies which is called interquartile range, so the distance between the 25th and 75th quartile. The white circle represent outlier measurement. The elements outside the box are called whiskers and represent are 1.5 times the interquartile range. While the little circles represent the 0th or 100th quartile therefore maxima or minima which are not within the interquartile range.
Fig. 4.
Fig. 4. Processflow schematic of the evaluation process for the etch gas selection. This process was conducted for every listed etch gas combination. The results were then collected and compared in Table 1. The input etch gas variations contains all the possible etch gas parameters which are to be investigated. Results from this processflow are the values gained for the evaluation matrix shown in Table 1.
Fig. 5.
Fig. 5. Processflow schematic of the selection process to determine the etch gas. Process result are the output of the process flow in Fig. 4. The score for the sidewall angle was calculated while the score for sidewall roughness and micromasking were obtained by a subjective evaluation of the SEM images. This process was conducted three times so for every step of the etch gas selection process.
Fig. 6.
Fig. 6. Box-plots of different parameter variations to test the influence of the total gas flow and the feed gas composition on the sidewall angle. These tests were used to validate the chosen parameters. The overall gas flow remained 50 sccm and the gas composition 40/5/5 (sccm)
Fig. 7.
Fig. 7. Main effects plot for sidewall angle obtained by evaluating the 20 Run SRM in Minitab. The main effect for RF and ICP suggests a nearly linear correlation, whereas the pressure exhibits a maximum at 6 mtorr.
Fig. 8.
Fig. 8. Temperature dependency of the sidewall angle for ICP Power=1000 W,RF Power=20 W,p=10 mTorr depicted in a boxplot. The small circles represent outliers during the measurement procedure. The black lines is the result from a linear regression with an $R^2=0.88$ and $y=0.497x+70$ where y represents the sidewall angle in [$^{\circ }$] and x the temperature in [$^{\circ }$C]
Fig. 9.
Fig. 9. Temperature dependency of the sidewall angle for ICP Power=1420 W,RF Power=28 W,p=13 mTorr depicted in a boxplot. The small circles represent outliers during the measurement procedure. The black lines is the result from a linear regression with an $R^2=0.94$ and $y=0.498x+81.21$ where y represents the sidewall angle in [$^{\circ }$] and x the temperature in [$^{\circ }$C]
Fig. 10.
Fig. 10. Experimental demonstration of the influence of the temperature: the figure shows the etching profiles resulting from one and the same plasma etching process. The results exhibit an obvious transition from an anisotropic etch profile (30 °C) to a tapered (0 °C) and finally a pseudo-isotropic (−30 °C) shape. The visible bumps on the etched surface can be attributed to the adhesion material used to contact the chips onto the wafer surface. Replicating those processes on a wafer displayed the same behavior without the bumps.
Fig. 11.
Fig. 11. SEM Image of the investigated etched structure. The spectra were acquired at an accelerating voltage of 10 keV and a primary electron beam current of approximately 8 nA and a beam diameter of approximately 30 nm with an incident angle of ${60}^{\circ }$ with respect to the sample surface normal using a Thermo VG Scientific Microlab 350 instrument. The AES measurement is depicted in the diagram on the right side with two plots for each point visible on the lift image. The doted line indicates the measured peaks with the corresponding finding. The measurement shows no elements beside Si which is bound to O and O

Tables (3)

Tables Icon

Table 1. Overall Score is calculated by S A = S 1 + S 2 + S 3 . This evaluation matrix helps to capture the subjective impression gained while examining multiple SEM images for each sample which unfortunately could not all be shown due to lack of space.

Tables Icon

Table 2. Parameter range investigated using the Surface Response Methodology with α as the parameter level value.

Tables Icon

Table 3. Coded coefficients obtained by Minitab for the sidewall angle. Coef is an abbreviation for coefficient. The coefficient describes the magnitude and direction of the influence on the response variable. To minimize multicollinearity between terms, all coefficients are expressed in coded units. SE is an abbreviation for the standard error of the coded coefficient. T-value represents the ratio of the coefficient and its standard error obtained from the Student’s t-test. P-value is a measure against the probability that the null hypothesis is true. Therefore a p-value of zero means there is no influence between term and response variables. Finally, VIF is a measure for the degree of multicollinearity. A VIF of one means there is no multicollinearity, while a VIF greater than one signalizes a degree of multicollinearity.

Equations (1)

Equations on this page are rendered with MathJax. Learn more.

Angle in [ ] = 63.4 + 0.0131 I C P 4.44 p + 1.35 R F + 0.000007 I C P I C P 0.2077 p p 0.0108 R F R F + 0.00292 I C P p 0.002212 I C P R F + 0.2118 p R F
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.