Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Tunability of the optical constants of tantalum-cobalt alloy thin films in the extreme ultraviolet

Open Access Open Access

Abstract

The optical constants of two Ta-Co binary alloys are determined in the spectral range 8.0 nm–22.0 nm using angle-dependent reflectometry (ADR). The extreme-ultraviolet reflectivity (EUVR) profiles of the alloys were measured using highly monochromatized synchrotron radiation from sputtered thin films, each with a nominal thickness of 30.0 nm. Concerning the alloys, the inability to predict the variability of the optical constants' profiles in the vicinity of cobalt’s M-absorption edge region gives unique proof for the need to derive a more complete theory for predicting the optical constants. Markov chain Monte Carlo (MCMC) based Bayesian inferences, and frequency analysis of X-ray reflectivity (XRR) data were utilized to treat the relevant inverse-problem needed for determining the optical constants. An approach is presented here where both the optical constants of the alloys and their surface layers are simultaneously sampled. The results of this report are apropos to strengthen customizing multilayer mirrors (MLMs) and similar ad hoc optical components intended for the extreme-ultraviolet (EUV) spectral range.

© 2022 Optica Publishing Group under the terms of the Optica Open Access Publishing Agreement

1. Introduction

The determination of the complex index of refraction, denoted by $\tilde{n}$(λ) = 1-δ(λ) + iβ(λ), is essential for calculating a material’s optical response at a given wavelength (λ). The two unitless parts of the complex refractive index, (1-δ) and (β) are known as the refractive index and the extinction coefficient, respectively. Considering an Electromagnetic (EM) wave propagating through a medium, the refractive index quantifies the phase velocity and the extinction coefficient quantifies the absorption. Albeit paramount, in the EUV range, the optical constants of many materials are either poorly determined or missing, especially for mixtures including alloys.

The range spanning ca. 8.0 nm to ca. 22.0 nm is a critical segment of the Extreme-Ultraviolet (EUV) spectral region. It accommodates the wavelength of 13.5 nm, which is utilized in EUV Lithography (EUVL) systems for the High-Volume Manufacturing (HVM) of 5 nm technology nodes [1]. In addition, some abundant elements have their resonance lines between 8.0 nm – 22.0 nm [2], such as the resonance line of Fe IX at ca. 17 nm which is among the brightest for coronal observations [3]. Generally, the range 8.0 nm – 22.0 nm is highly relevant for spectroscopic and plasma physics studies.

Given the significance of the aforementioned spectral segment, a large number of research groups developed dedicated Multilayer Mirrors (MLMs) and other customized optical elements. Montcalm et al. investigated the reflectance of a Mo/Y MLM in the vicinity of a wavelength of ca. 11.5 nm [4]. Sae-Lao et al. reported the characteristics of a Mo/Y multilayer coated diffraction grating dedicated for the wavelength 9 nm [5]. Windt et al. demonstrated the relatively high reflectance of a Pd/B4C/Y MLM around a wavelength of 10 nm [6]. Bogachev et al. discussed the simulated reflectance of several MLMs incorporating Al targeting the spectral range 17.1 nm – 19.5 nm [7]. Vainer et al. studied the reflectance of some Be-based MLMs in the spectral range 11.2 nm – 25 nm [8]. Recently, Polkovnikov et al. studied some of the effects of introducing B4C as a diffusion barrier in Ru/Y MLMs targeting the spectral range of 9 nm – 11 nm [9]. Presumably, the most renowned optical element made for the EUV spectral range is the Mo/Si MLM targeting 13.5 nm for EUVL systems. More details are found in Ref. [10]. Yet, MLMs with higher reflectance at 13.5 nm than the current established Mo/Si MLM are sought after [11].

When designing an optical element, the optical constants of numerous materials are surveyed, as part of the materials selection process [12]. In some instances, the optical responses of pure metals and common materials do not provide the optimally feasible yield [13]. As well, it happens that the in silico optical response of a material is fine but its practical use is prohibitive, due to toxicity or radioactivity for example [14,15]. In such cases, the ability to customize an alternative suitable material with ad hoc optical constants is of a top value. Currently, the search of an alternative absorber layer on the Mo/Si MLM intended for high Numerical Aperture (NA) EUVL (see Refs. [16]) is a highly pertinent living example. In an EUVL scanner, the concurrence of oblique reflectance on an absorber layer with finite thickness produces imaging artefacts that are commonly referred to as “mask 3D effects” [16]. Binary alloys of Ni-Al [17], Ru-Ta and most recently of Ta-Co were all investigated for their potential applicability to serve as alternative absorber layer to reduce the mask 3D effects [18,19].

Also, MLM design concepts have undergone substantial improvements [20,21]. MLMs dedicated for multiple wavelengths have been reported [20,22]. The ability to customize materials with ad hoc optical constants is also significant for customized MLMs applications.

In this report, for the spectral range 8.0 nm – 22.0 nm, the optical constants of two Ta-Co binary alloys with the nominal compositions; Ta2Co and TaCo are investigated with their corresponding uncertainties. Using Angle-Dependent Reflectometry (ADR), the optical constants are determined from Extreme-Ultraviolet Reflectivity (EUVR) maps. The EUVR maps were measured in the Metrology Light Source (MLS) storage ring facility of the Physikalisch-Technische Bundesanstalt (PTB). A 3rd composition, TaCo3 was also realized but the sample was found chemically unstable. Thus, merely the optical data of Ta2Co and TaCo are presented here. The optical constants at wavelength 13.5 nm were presented from the studied Ta-Co samples [19]. The algorithm Differential Evolution (DE) was used in the latter report for determining the optical constants from the EUVR data [23]. Here, Markov Chain Monte Carlo (MCMC) based Bayesian inferences are used to resolve the optical constants from the two compositions Ta2Co and TaCo with their surface layers.

MCMC based Bayesian inferences have been utilized earlier to determine optical constants from reflectivity data in the PTB [24]. Aside from the new optical data presented in our work, an add-on to the applicability of MCMC based Bayesian inferences is the demonstration that the optical constants of a thin film alongside the optical constants of its surface layer can be simultaneously determined. Depending on the case, MCMC based Bayesian inferences are often challenged in highly-dimensional inverse-problems because the (assumed) convergence can be computationally intensive to attain. Nevertheless, MCMC based Bayesian inferences enable retrieving the parameters with the corresponding – calculated – uncertainties and cross-correlations.

Investigating the optical responses for a series of binary alloys of different compositions is not only relevant for developing a material with ad hoc optical constants. Parametric studies of this kind are also intriguing for the solid-state physics community. Especially when the optical constants are resolved at an absorption edge region, and it is the case here given the known M2,3 and M4,5 transitions of Co reported at ca. 21.4 nm [25]. For example, L. Azároff et al. published a set of reports on the fine-structures of K absorption edges regarding a series of different alloys, such as Cu-Ni, Ni-Co and Ni-Fe [2628]. Information about energy band structures and atomic transition probabilities of the elements involved can be inferred from such parametric studies [26,29]. Generally, the chemical shifts observed in an absorption edge region regarding a set of compounds provide a wealth of information on the chemical properties of the base element [30].

The aforementioned reviewed reports aimed at resolving the absorption fine structures; hence, the optical constants profiles can be inferred by post processing of the presented data there. Nevertheless, there is a number of reports that aimed directly at investigating the variability of optical constants profiles, concerning a series of alloys or compositions. Such studies are actually frequent. One of the earliest examples is an elaborate report of J. Littleton (Ref. [31]), where optical constants of series of binary alloys such as a set of different Al-Cu compositions were studied using a Na-lamp [31]. Some properties of the alloys in the latter work like the miscibility and the conductivity were discussed given the dependence of the optical constants on the composition. Moravec et al. (Reference [32]) investigated the optical constants of Ni, Fe and their alloys in the energy range 2 eV to 27 eV (Vacuum-Ultraviolet (VUV) range). Other examples are found in Refs. [3337]. However, such studies are relatively rare in the EUV range.

2. Samples fabrication and data acquisition

Three binary alloys thin films whose compositions are: TaCo, Ta2Co, and TaCo3 were deposited on super polished 300 mm Si wafers using (Direct Current) DC magnetron sputtering. The targeted compositions were realized using successive nano-laminations of atomic layers of Ta and Co. The nominal thickness of each deposition was 30 nm. The coated Si wafer were diced into smaller squares each with a side length of 25 mm. Plenty of details on the samples’ morphologies and other structural characterises are available in Ref. [19].

The EUVR profiles were collected from the samples in the spectral range 8.0 nm – 22.0 nm with a step of 0.25 nm. The targeted angular range of the EUVR profiles spanned 6.0° – 85.5°, with a step of 1.5°. The EUV beamline where the EUVR profiles were measured is stationed at a bending magnet in the MLS facility. The beamline design ensures beam stability and a minimal stray light contribution. A few main parameters of the beamline are listed in Table 1.

Tables Icon

Table 1. Approximate values of the main parameters of the EUV beamline at the standard settingsa

Details on the optical layout of the beamline are explained in Ref. [38]. The experimental endstation of the beamline is a large vacuum tank housing a dedicated reflectometer for large-sized optical elements. The vacuum tank is lodged in a clean room. The sample holder of the reflectometer has six degrees of freedom with additional four for the detector, which is mounted on a side arm [39]. Reflectometry measurements covering the near-full angular range are facilitated here.

With a laboratory-based X-ray diffractometer in the laboratories of imec, X-ray Reflectivity (XRR) profiles were collected at Cu-Kα for the samples studied here.

3. Analysis formalism and sample structures verification

The sample with the nominal composition TaCo3 was found inadequate due to its chemical instability. Presumably, the Co-rich surface layer is the reason. Over a duration exceeding one year, sequentially collected XRR data in the laboratories of Optix fab from a TaCo3 witness sample demonstrated a lasting growth of a surface layer. Generally, oxidation naturally grows over thin film coatings. For some materials, an ultra thin chemically stable oxidation layer protects the film beneath from further reaction with the ambience. This natural mechanism is known as passivation, and ideally, the developed layer, the so-called “native passivation layer” reaches a certain thickness beyond which its growth stops or can be considered negligible. For the case of the TaCo3 deposition, no passivation occurred where the surface layer kept a marked growth. Thus, the report will continue only considering the two – relatively stable – samples whose compositions are: TaCo and Ta2Co.

To determine optical constants from the collected EUVR data, an inverse-problem is initialized. The so-called “discrete-layer modelling” approach is used here. In this approach, the thin film structure is approximated by discrete layers, each with a constant density profile. To better adjust the number of layers needed for our inverse-problems here, frequency analysis of XRR data is chosen [40,41]. Using the “differential-based enhanced” Fast Fourier Transform (FFT) method developed by Poust et al. (Reference [42]) the collected XRR data were analysed (Fig. 1).

 figure: Fig. 1.

Fig. 1. XRR profiles collected from the samples and analyzed using frequency analysis. (a) TaCo sample XRR profile and its (b) interpolated FFT spectrum. (c) Ta2Co sample XRR profile and its (d) interpolated FFT spectrum. The blue dahsed lines mark the (presumbed) resolved layer thicknesses [43,44].

Download Full Size | PDF

Two layers were resolved from each sample using frequency analysis, their thicknesses are marked by the blue dashed lines in the two sub-figures (b) and (d) of Fig. 1. In such FT’s spectra, the last peaks indicate the total thickness of the stratification, that is known since FT spectra peaks indicate the distances between different interfaces rather than mere individual layers [45]. Therefore, the last peaks in the spectra were unmarked. The findings are congruent to the results from High-Resolution Transmission Electron Microscopy (HRTEM) and Energy-Dispersive Spectroscopy (EDS) analyses carried on semi-witness samples [19], where surface layers were evident in the HRTEM images. The FFT spectra (Fig. 1) show that the deposition thicknesses are at most off the nominal values by ca. 5%. Consequently, the optical constants’ inverse-problems are to be initialized with each regarding a bilayer stratification (on a substrate) as shown in Fig. 2.

 figure: Fig. 2.

Fig. 2. A sketch depicting the stratification of the models used for the two samples; TaCo and Ta2Co.

Download Full Size | PDF

With the samples’ models initialized using frequency analysis, the determination of the optical constants and further refinement of the models will resume using the EUVR maps. In the inverse problems here, the optical constants are considered as free parameters – to be sampled – within Fresnel’s reflection coefficients. The dynamical nature of the reflectance given a stratified system is simulated here via Parratt’s formalism coupled with Névot-Croce damping factors to approximate the influence of interfacial imperfections [4648]. Using the Python package emcee, the Affine Invariant MCMC sampler was used here [49,50]. Additional details on adapting this Bayesian framework are available in our former Open Access report on the optical constants of Ru [51].

Regarding the optical constants, the priors’ ranges of the relevant MCMC-based Bayesian inferences were set using the Independent-Atom Approximation (IAA) [52], where the Atomic Scattering Factors (ASFs) of the alloys’ base elements were taken from the Center for X-Ray Optics (CXRO) online database [52]. A mixture’s ASFs can be related to the complex index of refraction using the following relation [52]:

$$\begin{array}{{c}} {\tilde{n}(\lambda )= 1 - \delta (\lambda )+ i\beta (\lambda )= 1 - \frac{{{r_e}}}{{2\pi }}{\lambda ^2}\mathop \sum \limits_{j = 1}^N {k_j}\; f{{(\lambda )}_j}} \end{array}$$

In [Eq. (1)] ${r_e}$ is the classical electron radius, λ is the wavelength of the interacting electromagnetic radiation, and ${k_j}$ represent the number of atoms of type j per unit volume. $f{(\lambda )_j}$ stands for the ASF for an atom of type j, which is a complex quantity expressed as:

$$\begin{array}{{c}} {f(\lambda )= {f_1}(\lambda )- i{f_2}(\lambda )} \end{array}$$

In [Eq. (2)], ${f_1}$ and ${f_2}$ relate to $\delta $ and $\beta $, respectively.

The optical constants of both the alloys depositions and their surface layers are sampled here, while the optical constants of the Si substrate were fixed from values taken from CXRO’s database [52,53]. The formalism of the inverse-problems is of a global nature regarding the structural characteristics of the samples. For each sample, the entire EUVR map considers a single model.

Upon proceeding with running the MCMC algorithm, the quasi-convergence of the problems was obtained, where the chains of the MCMC samplers demonstrated a quasi-stationary behaviour (Fig. 3) [54]. The large number of iterations (step count) was anticipated given the high-dimensionality of the problem.

 figure: Fig. 3.

Fig. 3. MCMC trace plots of the layer’s thickness chains. (a) chains relevant to the TaCo sample. (b) chains relevant to the Ta2Co sample.

Download Full Size | PDF

When excluding the so-called “burn-in” segments of the MCMC chains, the retrieved structural characteristics are close to those resolved using the FFT from the XRR data (Table 2). Burn-in segments are those parts of the chains spanning the very first step till the targeted quasi-stationary behaviour. Burn-in segments are discarded since they often do not represent the modal values anticipated upon achieving quasi-stationary behaviour.

Tables Icon

Table 2. A comparison of selected parameters relevant to the (assumed) structures of the two samples as retrieved from the EUVR data. The uncertainties regard the Confidence Interval (CI) of 3-σ

From Fig. 3, a major drawback for applying the MCMC based Bayesian inferences is evident. A very large number of iterations is needed. For the TaCo sample and for the Ta2Co sample 51${\times} $104 and 48${\times} $104 iterations were calculated, respectively. Generally, the efficiency of MCMC based Bayesian inferences methods in comparison to other methods such as DE optimization is rather low [55]. Nevertheless, MCMC based Bayesian inferences allows a deeper investigation for the targeted parameters, especially their uncertainties, cross-correlations and the convergence behaviour.

4. Determined optical constants and simulated EUVR

Both the optical constants of the thin films depositions and their surface layers were sampled. Although this proposal regards a considerably high dimensionality, it imparts a more realistic representation of the samples. In our former report on the optical constants of Ta for example, the optical constants of the oxide layer were represented using the IAA [52,56]. Using the IAA, the ASFs of the main deposition can be considered as sampling parameters. Theoretically, this was feasible since Ta thin films have been extensively investigated before, so the possible oxidation stoichiometries with approximate mass density values can be referenced. The problem here is trickier, Co oxidation escalates the issue given its instability and the presence of the known M2,3 and M4,5 transitions of Co reported at ca. 21.4 nm, which compromises the validity of the IAA. The IAA is not accurate at or around absorption edges and does not recast the anticipated shifts in the fine-structures.

The cross-correlations between the optical constants (at a wavelength of 20.0 nm) of both the TaCo deposition and its surface layer with the layers’ thicknesses are plotted in Fig. 4.

 figure: Fig. 4.

Fig. 4. The marginalized posterior distributions of six selected parameters sampled from the EUVR map of the TaCo sample, shown to reveal the cross-correlations. The Gaussian distributions fitted 1-D histograms along the diagonal have their modes marked by vertical red lines. The CI of 3-σ is bounded by the dotted black lines. The contour levels of the 2-D histograms reveal the 1, 2, and 3- σ density regions [43,57]. SL abbreviates Surface Layer here.

Download Full Size | PDF

While the optical constants of the main depositions and their surface layers were sampled independently, and without regularization techniques, the resolved profiles represent credible behaviours (Fig. 5). The fine-structures in the profiles of the two alloys due to the M2,3 and M4,5 transitions of Co are vivid.

 figure: Fig. 5.

Fig. 5. The optical constants of the probed thin films and their surface layers regarding the spectral range 8.0 nm – 22.0 nm. The optical constant profiles retrieved from the MCMC chains with their corresponding uncertainties, (a) concerning the TaCo sample, (b) concerning the Ta2Co sample.

Download Full Size | PDF

An indirect verification of the results can be clued observing the modulation variations in the profiles of the optical constants of the alloys, together against the profiles of the alloys’ base elements (Fig. 6). The variations in experimental data are congruent, a larger mass fraction of Co in the alloy implies a larger shift towards the observed fine-structure of pure Co. In particular, the prominent dip in Co’s refractive index profile and the peak in its extinction coefficient profile, the correspondence in the shifts towards these features observed in the alloys is conspicuous as the mass fraction of Co increases. Similarly, regarding the optical constants profiles of the alloys, the correspondence in the shifts towards the profiles of Ta as the mass fraction of Ta increases is lucid especially after ca. 21 nm. Scrutinizing the alloys’ extinction coefficient profiles after ca. 21 nm, the shift in their peak shapes is – presumably – trusted given the continuous increase in the absorption of Ta.

 figure: Fig. 6.

Fig. 6. The resolved optical constants’ profiles of TaCo and Ta2Co shown in addition to earlier our results of Ta and Co [56,58].

Download Full Size | PDF

Mostly relevant to the development of EUVL optical elements, the tunability of Ta optical constants via alloying – at a wavelength of 13.5 nm – has been presented before [18]. Some of our formerly reported results are shown jointly with the results of this work in Fig. 7.

 figure: Fig. 7.

Fig. 7. The tunablity of the extinction coefficients of Ta at a wavelength of 13.5 nm via alloying. The results regarding the Ru-Ta series are taken from Ref. [18].

Download Full Size | PDF

Interpreting the variability of optical constants with the composition concerning alloys is not straightforward. Arguably, it cannot be conclusive since numerous factors can play a role. According to the IAA [52], the dependence should be linear. Yet, scrutinizing Fig. 6, around the wavelength of 13.5 nm there is a structure modulating in Co’s optical constants profiles. This indicates, at 13.5 nm the optical constants of Co could be affected due to its M2,3 and M4,5 transitions, hence, nonlinear responses are to be expected. Nevertheless, the number of investigated compositions is hardly sufficient to make a reliable conclusion here.

Concerning the simulated EUVR profiles, generally, the simulated EUVR maps resemble the measurements quite well. To demonstrate, the profiles simulated at a wavelength of 13.5 nm are shown in Fig. 8 against the corresponding measurements.

 figure: Fig. 8.

Fig. 8. The measured and the simulated EUVR profiles at a wavelength of 13.5 nm from the two samples.

Download Full Size | PDF

5. Limits of the IAA–a qualitative assessment

With the optical constants of TaCo and Ta2Co determined here, it is highly relevant for the continuous EUVL development to examine the feasibility of calculating those optical constants via the IAA using the ASFs of the base elements. It is generally interesting to point at the limitations of the currently available models for predicting optical constants in order to derive a more complete theory. Thus, with the ASFs of elemental Ta and Co both retrieved in our earlier works [56,58], and the compositions of the alloys verified to be close to the nominal settings [19], the optical constants were calculated. The mass densities of the alloys were – graphically – approximated for the best correspondence with the experimental values. Graphical comparisons between the experimental and the calculated values for TaCo and Ta2Co are shown in Fig. 9 and Fig. 10, respectively.

 figure: Fig. 9.

Fig. 9. A graphical comparison between the experimental and the simulated optical constants of TaCo in the spectral range 8.0 nm – 22.0 nm. Only the dots correspond to experimental values.

Download Full Size | PDF

 figure: Fig. 10.

Fig. 10. A graphical comparison between the experimental and the simulated optical constants of Ta2Co in the spectral range 8.0 nm – 22.0 nm.

Download Full Size | PDF

The accuracy of the IAA is known to be weakened when the photons energy is below 50 eV and in the vicinity of absorption edges [59]. The chemical environments of elements affect their absorption spectra and shifts in the positions of the absorption edges cannot be considered using the IAA. Perhaps, the most notable example is that of SiO2 [60]. Nevertheless, it is still intriguing to investigate the extent of the accuracy of the IAA in the vicinity of absorption edges. In both Fig. 9 and Fig. 10, below ca. 16 nm the calculated optical constants resemble the experimental values rather plausibly. Getting nearer to the absorption edges, above ca. 16 nm the divergence between the calculated and the experimental profiles gets eminent.

At absorption edges, the divergences between the experimental and the simulated optical constants obviously indicate chemical shifts. For the cases here, that proves the existence of real alloys, rather than mixtures of nano-clusters of the base elements. The complete intermixing in these alloys was expected given the use of ultra-thin nano-laminates of Ta and Co [19].

6. Summary and conclusions

The optical constants of two Ta-Co binary alloys in addition to the optical constants of their surface layers have been determined in the spectral range 8.0 nm – 22.0 nm. Demonstrating that the optical constants of surface layers can be determined simultaneously when investigating a deposition is very promising. This is a superb merit for ADR as a method for determining optical constants in comparison with other methods. For example, when probing a reactive material for its optical constants using Transmission-Mode Measurements (TMM), multiple samples are needed to reduce the contributions of oxidation and interdiffusion layers [59,61]. Including multiple samples for an experimental study adds experimental burden and is time-consuming.

When determining optical constants, surface layers are detrimental for an accurate investigation, especially in the EUV. The uppermost segment of the sample’s deposition majorly influences the optical response. Surface oxidation or contamination layers can completely bias the probed optical characteristics [62]. Although surface layers regarding depositions can be avoided via In-Situ measurements [63], but In-Situ measurements are hardly accessible for most of the EUV optical constants community. Also, in most cases, the end-use of an optical element necessitates an interaction with the surroundings, if not an exposure to chemical processes. A relevant example is the cleaning procedure involved with EUVL photomasks [64]. Another issue stems from surface effects, estimating the composition of a surface layer is not always feasible. Surface segregation and migration in thin film alloys could occur. Therefore, presenting the optical characteristics of a thin film deposition concurrently with its surface layer is advantageous. This allows for a more realistic evaluation for candidate materials since thin films are rarely describable using a single layer. The in-silico imaging artefacts mitigation using lithography simulations (see ref. [65]) would particularly benefit from such a more realistic modelling.

The accuracy of the IAA was (qualitatively) examined for the case of Ta-Co alloys and was found plausible away from absorption edges. The findings of our work motivate deriving a more comprehensive model for obtaining the optical constants in the vicinity of absorption edges.

Both Co and Ta-based coatings are widely used for EUV applications. This report demonstrates the tunability of their optical constants, thus, further allowing the realization of ad hoc optical materials. The ability to tune the optical constants of a thin film is very helpful for “tailoring” (see Ref. [20]) a dedicated optical element.

The determined optical constants in this work will be available via the PTB’s online Optical Constants Database (OCDB) [66].

Funding

Electronic Components and Systems for European Leadership (783247); European Metrology Programme for Innovation and Research (20IND04).

Acknowledgements

This project has received funding from the Electronic Component Systems for European Leadership Joint Undertaking under grant agreement No 783247 – Technology Advances for Pilot line of Enhanced Semiconductors for 3 nm (TAPES3) and from the EMPIR Metrology for Industry project under grant No 20IND04 – Traceable metrology of soft X-ray to IR optical constants and nanofilms for advanced manufacturing (ATMOC). This Joint Undertaking receives support from the European Union’s Horizon 2020 research and innovation program alongside Netherlands, Belgium, Germany, France, Austria, United Kingdom, Israel, and Switzerland.

Disclosures

The authors declare no conflicts of interest.

Data availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request. Only the results are available in ref. [66].

References

1. C. Smeets, G. Salmaso, J. Carbone, M. Mastenbroek, N. Benders, R. van Es, and R. de Graaf, “0.33 NA EUV systems for high-volume manufacturing,” Proc. SPIE 11854, 1185404 (2022). [CrossRef]  

2. G. Del Zanna, “The EUV spectrum of the sun: quiet- and active-sun irradiances and chemical composition,” Astron. Astrophys. 624, A36 (2019). [CrossRef]  

3. G. Doschek, “Recent Advances in EUV Solar Astronomy,” Inter. Astro. Uni. Col. 152, 503–510 (1996). [CrossRef]  

4. C. Montcalm, B. Sullivan, S. Duguay, M. Ranger, W. Steffens, H. Pépin, and M. Chaker, “In situ reflectance measurements of soft-x-ray/extreme-ultraviolet Mo/Y multilayer mirrors,” Opt. Lett. 20(12), 1450–1452 (1995). [CrossRef]  

5. B. Sae-Lao, S. Bajt, C. Montcalm, and J. Seely, “Performance of normal-incidence molybdenum-yttrium multilayer-coated diffraction grating at a wavelength of 9 nm,” Appl. Opt. 41(13), 2394–2400 (2002). [CrossRef]  

6. D. Windt and E. Gullikson, “Pd/B4C/Y multilayer coatings for extreme ultraviolet applications near 10 nm wavelength,” Appl. Opt. 54(18), 5850–5860 (2015). [CrossRef]  

7. S. Bogachev, N. Chkhalo, S. Kuzin, D. Pariev, V. Polkovnikov, N. Salashchenko, S. Shestov, and S. Zuev, “Advanced materials for multilayer mirrors for extreme ultraviolet solar astronomy,” Appl. Opt. 55(9), 2126–2135 (2016). [CrossRef]  

8. Y. Vainer, S. Garakhin, S. Zuev, A. Nechay, R. Pleshkov, V. Polkovnikov, N. Salashchenko, M. Svechnikov, M. Sertsu, R. Smertin, A. Sokolov, N. Chkhalo, and F. Schäfers, “Beryllium-based multilayer mirrors for the soft X-ray and extreme ultraviolet wavelength ranges,” J. Surf. Invest.: X-Ray, Synchrotron Neutron Tech. 14(1), 124–134 (2020). [CrossRef]  

9. V. Polkovnikov, R. Shaposhnikov, S. Zuev, M. Svechnikov, M. Sertsu, A. Sokolov, F. Schäfers, and N. Chkhalo, “Highly reflective Ru/Y multilayer mirrors for the spectral range of 9-11 nm,” Opt. Express 30(11), 19332–19342 (2022). [CrossRef]  

10. S. Yulin, N. Benoit, T. Feigl, and N. Kaiser, “Interface-engineered EUV multilayer mirrors,” Microelectron. Eng. 83(4-9), 692–694 (2006). [CrossRef]  

11. N. Chkhalo, S. Gusev, A. Nechay, D. Pariev, V. Polkovnikov, N. Salashchenko, F. Schäfers, M. Sertsu, A. Sokolov, M. Svechnikov, and D. Tatarsky, “High-reflection Mo/Be/Si multilayers for EUV lithography,” Opt. Lett. 42(24), 5070–5073 (2017). [CrossRef]  

12. E. Louis, A. Yakshin, T. Tsarfati, and F. Bijkerk, “Nanometer interface and materials control for multilayer EUV-optical applications,” Prog. Surf. Sci. 86(11-12), 255–294 (2011). [CrossRef]  

13. M. Saedi, C. Sfiligoj, J. Verhoeven, and J. Frenken, “Effect of rubidium incorporation on the optical properties and intermixing in Mo/Si multilayer mirrors for EUV lithography applications,” Appl. Surf. Sci. 507, 144951 (2020). [CrossRef]  

14. K. Skulina, C. Alford, R. Bionta, D. Makowiecki, E. Gullikson, R. Soufli, J. Kortright, and J. Underwood, “Molybdenum/beryllium multilayer mirrors for normal incidence in the extreme ultraviolet,” Appl. Opt. 34(19), 3727–3730 (1995). [CrossRef]  

15. J. Johnson, D. Allred, R. Turley, W. Evans, and R. Sandberg, “Thorium-based thin films as highly reflective mirrors in the EUV,” Mater. Res. Soc. Symp. Proc. 893, 0893-JJ05-09–JJ05-09 (2005). [CrossRef]  

16. A. Erdmann, D. Xua, P. Evanschitzky, V. Philipsen, V. Luong, and E. Hendrickx, “Characterization and mitigation of 3D mask effects in extreme ultraviolet lithography,” Adv. Opt. Technol. 6(3-4), 187–201 (2017). [CrossRef]  

17. V. Luong, V. Philipsen, E. Hendrickx, K. Opsomer, C. Detavernier, C. Laubis, F. Scholze, and M. Heyns, “Ni-Al alloys as alternative EUV mask absorber,” Appl. Sci. 8(4), 521 (2018). [CrossRef]  

18. M. Wu, J. de Marneffe, K. Opsomer, C. Detavernier, A. Delabie, P. Naujok, Ö. Caner, A. Goodyear, M. Cooke, Q. Saadeh, V. Soltwisch, F. Scholze, and V. Philipsen, “Characterization of Ru4-xTax (x = 1,2,3) alloy as material candidate for EUV low-n mask,” Micro Nano Eng. 12, 100089 (2021). [CrossRef]  

19. D. Thakare, M. Wu, K. Opsomer, C. Detavernier, P. Naujok, Q. Saadeh, V. Soltwisch, A. Delabie, and V. Philipsen, “Evaluation of Ta-Co alloys as novel high-k EUV mask absorber,” Proc. SPIE 12051, 30 (2022). [CrossRef]  

20. Q. Huang, V. Medvedev, R. van de Kruijs, A. Yakshin, E. Louis, and F. Bijkerk, “Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics,” Appl. Phys. Rev. 4(1), 011104 (2017). [CrossRef]  

21. A. Akhsakhalyan, E. Kluenkov, A. Lopatin, V. Luchin, A. Nechay, A. Pestov, V. Polkovnikov, N. Salashchenko, M. Svechnikov, M. Toropov, N. Tsybin, N. Chkhalo, and A. Shcherbakov, “Current status and development prospects for multilayer X-ray optics at the Institute for Physics of Microstructures, Russian Academy of Sciences,” J. Surf. Invest.: X-Ray, Synchrotron Neutron Tech. 11(1), 1–19 (2017). [CrossRef]  

22. M. Fernández-Perea, R. Soufli, J. Robinson, L. Rodríguez De Marcos, J. Méndez, J. Larruquert, and E. Gullikson, “Triple-wavelength, narrowband Mg/SiC multilayers with corrosion barriers and high peak reflectance in the 25-80 nm wavelength region,” Opt. Express 20(21), 24018–24029 (2012). [CrossRef]  

23. R. Storn and K. Price, “Differential evolution – a simple and efficient heuristic for global optimization over continuous spaces,” J. Global Opt. 11(4), 341–359 (1997). [CrossRef]  

24. A. Gottwald, K. Wiese, U. Kroth, and M. Richter, “Uncertainty analysis for the determination of B4C optical constants by angle-dependent reflectance measurement for 40 nm to 80 nm wavelength,” Appl. Opt. 56(20), 5768–5774 (2017). [CrossRef]  

25. G. Zschornack, Handbook of X-ray Data, (Springer Science & Business Media, 2007), p. 229.

26. L. Azároff, “X-ray K absorption edges of alloys. i. correlation to band models,” J. Appl. Phys. 38(7), 2809–2812 (1967). [CrossRef]  

27. R. Donahue and L. Azároff, “X-ray K absorption edges of alloys. ii. nickel-cobalt and nickel-iron solid solutions,” J. Appl. Phys. 38(7), 2813–2817 (1967). [CrossRef]  

28. H. Yeh and L. Azároff, “X-ray K absorption edges of alloys. iii. copper-zinc and nickel-zinc systems,” J. Appl. Phys. 38(10), 4034–4038 (1967). [CrossRef]  

29. L. Azároff and R. Donahue, “X-ray K absorption edges in binary solid solutions of cobalt, iron, and nickel,” Colloq. Phys. 32(C4), C4-312 (1971). [CrossRef]  

30. L. Verma and B. Agarwal, “Shifts in the X-ray K-absorption edge of copper due to chemical effects,” J. Phys. C: Solid State Phys. 1(6), 3231658 (1968). [CrossRef]  

31. J. Littleton, “The optical constants of alloys as a function of composition,” Phys. Rev. (Series I) 33(6), 453–466 (1911). [CrossRef]  

32. T. Moravec, J. Rife, and R. Dexter, “Optical constants of nickel, iron, and nickel-iron alloys in the vacuum ultraviolet,” Phys. Rev. B 13(8), 3297–3306 (1976). [CrossRef]  

33. F. Mezrag, N. Aouina, and N. Bouarissa, “Optoelectronic and dielectric properties of GaAsxSb1-x ternary alloys,” J. Mater. Sci. 41(16), 5323–5328 (2006). [CrossRef]  

34. V. Shvets, D. Marin, V. Remesnik, I. Azarov, M. Yakushev, and S. Rykhlitskii, “Parametric model of the optical constant spectra of Hg1-xCdxTe and determination of the compound composition,” Opt. Spectrosc. 128(12), 1948–1953 (2020). [CrossRef]  

35. E. Roberts, K. Clarke, and R. Hunt, “Optical properties of alloys,” Mater. Sci. Eng. 42, 71–80 (1980). [CrossRef]  

36. R. Ciesielski, Q. Saadeh, V. Philipsen, K. Opsomer, J.-P. Soulié, M. Wu, P. Naujok, R. van de Kruijs, C. Detavernier, M. Kolbe, F. Scholze, and V. Soltwisch, “Determination of optical constants of thin films in the EUV,” Appl. Opt. 61(8), 2060–2078 (2022). [CrossRef]  

37. M. Sertsu, A. Sokolov, N. Chkhalo, V. Polkovnikov, N. Salashchenko, M. Svechnikov, and F. Schäfers, “Optical constants of beryllium thin layers determined from Mo/Be multilayers in spectral range 90 to 134 eV,” Opt. Eng. 60(04), 044103 (2021). [CrossRef]  

38. C. Laubis, A. Fischer, and F. Scholze, “Extension of PTB's EUV metrology facilities,” Proc. SPIE 8322, 832236 (2012). [CrossRef]  

39. J. Tuemmler, F. Scholze, G. Brandt, B. Meyer, F. Scholz, K. Vogel, G. Ulm, M. Poier, U. Klein, and W. Diete, “New PTB reflectometer for the characterization of large optics for the extreme ultraviolet spectral region,” Proc. SPIE 4688, 338–347 (2002). [CrossRef]  

40. K. Sakurai, M. Mizusawa, and M. Ishii, “Significance of frequency analysis in X-ray reflectivity: towards analysis which does not depend too much on models,” Trans. Mater. Res. Soc. Jpn. 33(3), 523–528 (2008). [CrossRef]  

41. D. Brower, R. Revay, and T. Huang, “A study of X-ray reflectivity data analysis methods for thin film thickness determination,” Powder Diffr. 11(2), 114–116 (1996). [CrossRef]  

42. B. Poust, R. Sandhu, and M. Goorsky, “Multi-layer thickness determination using differential-based enhanced Fourier transforms of X-ray reflectivity data,” Phys. Status Solidi A 206(8), 1780–1784 (2009). [CrossRef]  

43. J. Hunter, “Matplotlib: A 2D graphics environment,” Comput. Sci. Eng. 9(3), 90–95 (2007). [CrossRef]  

44. C. Harris, K. Millman, S. van der Walt, R. Gommers, P. Virtanen, D. Cournapeau, E. Wieser, J. Taylor, S. Berg, N. Smith, R. Kern, M. Picus, S. Hoyer, M. van Kerkwijk, M. Brett, A. Haldane, J. del Río, M. Wiebe, P. Peterson, P. Gérard-Marchant, K. Sheppard, T. Reddy, W. Weckesser, H. Abbasi, C. Gohlke, and T. Oliphant, “Array programming with NumPy,” Nature 585(7825), 357–362 (2020). [CrossRef]  

45. F. Bridou and B. Pardo, “Application of the Fourier transform in a preliminary analysis of the reflectivity curve obtained by grazing x-ray reflectometry,” Proc. SPIE 2253, 667–678 (1994). [CrossRef]  

46. J. Als-Nielsen and D. McMorrow, Elements of Modern X-ray Physics, 2nd ed. (John Wiley & Sons, Ltd, 2011), Ch. 3.

47. L. G. Parratt, “Surface studies of solids by total reflection of X-Rays,” Phys. Rev. 95(2), 359–369 (1954). [CrossRef]  

48. L. Névot and P. Croce, “Caractérisation des surfaces par réflexion rasante de rayons X. Application à l’étude du polissage de quelques verres silicates,” Rev. Phys. Appl. 15(3), 761–779 (1980). [CrossRef]  

49. D. Foreman-Mackey, D. W. Hogg, D. Lang, and J. Goodman, “emcee: The MCMC hammer,” Publ. Astron. Soc. Pac. 125(925), 306–312 (2013). [CrossRef]  

50. J. Goodman and J. Weare, “Ensemble samplers with affine invariance,” CAMCoS 5(1), 65–80 (2010). [CrossRef]  

51. Q. Saadeh, P. Naujok, V. Philipsen, P. Hönicke, C. Laubis, C. Buchholz, A. Andrle, C. Stadelhoff, H. Mentzel, A. Schönstedt, V. Soltwisch, and F. Scholze, “Time-frequency analysis assisted determination of ruthenium optical constants in the sub-EUV spectral range 8 nm – 23.75 nm,” Opt. Express 29(25), 40993–41013 (2021). [CrossRef]  

52. B. Henke, E. Gullikson, and J. Davis, “X-Ray interactions: photoabsorption, scattering, transmission, and reflection at E = 50-30,000 eV, Z = 1-92,” At. Data Nucl. Data Tables 54(2), 181–342 (1993). [CrossRef]   Updates to the tabulated values are accessible in the CXRO online database via; < https://henke.lbl.gov/optical_constants/asf.html >.

53. R. Soufli and E. M. Gullikson, “Reflectance measurements on clean surfaces for the determination of optical constants of silicon in the extreme ultraviolet–soft-x-ray region,” Appl. Opt. 36(22), 5499–5507 (1997). [CrossRef]  

54. S. Sharma, “Markov Chain Monte Carlo methods for Bayesian data analysis in astronomy,” Annu. Rev. Astron. Astrophys. 55(1), 213–259 (2017). [CrossRef]  

55. K. Price, R. Storn, and J. Lampinen, Differential Evolution: A Practical Approach to Global Optimization (Springer, 2005), pp. 463–477.

56. Q. Saadeh, P. Naujok, M. Wu, V. Philipsen, D. Thakare, F. Scholze, C. Buchholz, C. Stadelhoff, T. Wiesner, and V. Soltwisch, “Nested sampling aided determination of tantalum optical constants in the EUV spectral range,” Appl. Opt., in press (2022).

57. D. Foreman-Mackey, “corner.py: Scatterplot matrices in Python,” JOSS 1(2), 24 (2016). [CrossRef]  

58. Q. Saadeh, P. Naujok, D. Thakare, M. Wu, V. Philipsen, F. Scholze, C. Buchholz, Z. Salami, Y. Abdulhadi, D. García, H. Mentzel, A. Babuschkin, C. Laubis, and V. Soltwisch, “On the optical constants of cobalt in the M-absorption edge region,” submitted to Journal Optik (2022).

59. E. Gullikson, P. Denham, S. Mrowka, and J. Underwood, “Absolute photoabsorption measurements of Mg, Al, and Si in the soft-x-ray region below the L2,3 edges,” Phys. Rev. B 49(23), 16283–16288 (1994). [CrossRef]  

60. A. Andrle, P. Hönicke, J. Vinson, R. Quintanilh, Q. Saadeh, S. Heidenreich, F. Scholze, and V. Soltwisch, “The anisotropy in the optical constants of quartz crystals for soft X-rays,” J. Appl. Crystallogr. 54(2), 402–408 (2021). [CrossRef]  

61. B. Kjornrattanawanich, D. Windt, and J. Seely, “Optical constants determination of samarium, holmium, and erbium in the 1.5-850 eV spectral range using a transmittance method,” Appl. Opt. 49(31), 6006–6013 (2010). [CrossRef]  

62. N. Brimhall, N. Herrick, D. D. Allred, R. S. Turley, M. Ware, and J. Peatross, “Measured optical constants of copper from 10 nm to 35 nm,” Opt. Express 17(26), 23873–23879 (2009). [CrossRef]  

63. C. Tarrio, R. Watts, T. Lucatorto, J. Slaughter, and C. Falco, “Optical constants of in situ-deposited films of important extreme-ultraviolet multilayer mirror materials,” Appl. Opt. 37(19), 4100–4104 (1998). [CrossRef]  

64. P.-N. Liao and B. Barton, “An EUV photomask cleaning solution for the removal of tin contaminate,” Proc. SPIE 11855, 40 (2021). [CrossRef]  

65. A. Erdmann, H. Mesilhy, and P. Evanschitzky, “Attenuated phase shift masks: a wild card resolution enhancement for extreme ultraviolet lithography?” J. Micro/Nanopatterning, Mater., Metrol. 21(02), 020901 (2022). [CrossRef]  

66. Physikalisch-Technische Bundesanstalt, “Optical Constants Database (OCDB)”, PTB, 2022, https://www.ocdb.ptb.de/home

Data availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request. Only the results are available in ref. [66].

66. Physikalisch-Technische Bundesanstalt, “Optical Constants Database (OCDB)”, PTB, 2022, https://www.ocdb.ptb.de/home

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (10)

Fig. 1.
Fig. 1. XRR profiles collected from the samples and analyzed using frequency analysis. (a) TaCo sample XRR profile and its (b) interpolated FFT spectrum. (c) Ta2Co sample XRR profile and its (d) interpolated FFT spectrum. The blue dahsed lines mark the (presumbed) resolved layer thicknesses [43,44].
Fig. 2.
Fig. 2. A sketch depicting the stratification of the models used for the two samples; TaCo and Ta2Co.
Fig. 3.
Fig. 3. MCMC trace plots of the layer’s thickness chains. (a) chains relevant to the TaCo sample. (b) chains relevant to the Ta2Co sample.
Fig. 4.
Fig. 4. The marginalized posterior distributions of six selected parameters sampled from the EUVR map of the TaCo sample, shown to reveal the cross-correlations. The Gaussian distributions fitted 1-D histograms along the diagonal have their modes marked by vertical red lines. The CI of 3-σ is bounded by the dotted black lines. The contour levels of the 2-D histograms reveal the 1, 2, and 3- σ density regions [43,57]. SL abbreviates Surface Layer here.
Fig. 5.
Fig. 5. The optical constants of the probed thin films and their surface layers regarding the spectral range 8.0 nm – 22.0 nm. The optical constant profiles retrieved from the MCMC chains with their corresponding uncertainties, (a) concerning the TaCo sample, (b) concerning the Ta2Co sample.
Fig. 6.
Fig. 6. The resolved optical constants’ profiles of TaCo and Ta2Co shown in addition to earlier our results of Ta and Co [56,58].
Fig. 7.
Fig. 7. The tunablity of the extinction coefficients of Ta at a wavelength of 13.5 nm via alloying. The results regarding the Ru-Ta series are taken from Ref. [18].
Fig. 8.
Fig. 8. The measured and the simulated EUVR profiles at a wavelength of 13.5 nm from the two samples.
Fig. 9.
Fig. 9. A graphical comparison between the experimental and the simulated optical constants of TaCo in the spectral range 8.0 nm – 22.0 nm. Only the dots correspond to experimental values.
Fig. 10.
Fig. 10. A graphical comparison between the experimental and the simulated optical constants of Ta2Co in the spectral range 8.0 nm – 22.0 nm.

Tables (2)

Tables Icon

Table 1. Approximate values of the main parameters of the EUV beamline at the standard settingsa

Tables Icon

Table 2. A comparison of selected parameters relevant to the (assumed) structures of the two samples as retrieved from the EUVR data. The uncertainties regard the Confidence Interval (CI) of 3-σ

Equations (2)

Equations on this page are rendered with MathJax. Learn more.

n ~ ( λ ) = 1 δ ( λ ) + i β ( λ ) = 1 r e 2 π λ 2 j = 1 N k j f ( λ ) j
f ( λ ) = f 1 ( λ ) i f 2 ( λ )
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.