Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Geometric analysis algorithm based on a neural network with localized simulation data for nano-grating structure using Mueller matrix spectroscopic ellipsometry

Open Access Open Access

Abstract

Mueller matrix spectroscopic ellipsometry (MMSE) is a nondestructive tool for nanostructure analysis, and recently the enhanced computational power, combining neural networks and simulation data, enhance its analysis ability on more complex geometries. This study introduces a deep learning method to realize fast and accurate analysis; predicting nanostructure parameters by pairing Mueller matrices with relatively limited library data and then applying neural network algorithm. Thus, it was realized to predict the width and height of 1D grating structure with an accuracy of MAE below 0.1 nm through the proposed two-step prediction algorithm. Finally, experimental validation on SiO2 grating of 38 nm width and 100 nm height showed a good agreement in the dimensions with reasonable range compared to those measured by scanning electron microscopy.

© 2023 Optica Publishing Group under the terms of the Optica Open Access Publishing Agreement

1. Introduction

Ellipsometry is a well-established and promising optical measurement technique for the investigation and characterization of materials at the nanoscale [15]. The exploration of material properties at the scale of nanometers has led to remarkable scientific progress, while precise metrology has become highly important. Spectroscopic ellipsometry (SE) has emerged as a useful solution for nanostructural characterization, which makes it a strong candidate for various application fields, including semiconductor nanostructures [68], 2D-materials [9], metamaterials [10] and other applications [1115]. However, applying SE to investigate nanoscale structures presents some challenges. Two key issues include the acquisition of exact measurements from intricate structures and the fast identification of their shapes from these measurements. Specifically, the significance of the second issue grows as the demand for rapid analysis and development of nanostructures continues to increase [16]. The inverse reconstruction from ellipsometry measurement data is based on a meticulous analysis process such as the least-squares regression method, wherein iterative adjustments are required until the calculated ellipsometric spectra closely align with the actual measured data [1720]. While this repetitive approach can enhance the accuracy, this regression analysis necessitates iterative computations on the constructed optical simulation. So, it consumes a substantial amount of time, rendering the regression analysis process lengthy. Consequently, the real-time analysis of that method in the practical application is still limited, particularly for complex structural profiles such as a grating nanostructure.

In the SE field, lots of efforts have been directed toward the reduction of the analysis time and the enhancement of accuracy [2123]. One specific aspect involves the refinement of the searching process on the library data during the SE's data analysis by applying various search algorithms, including K-dimensional tree [24], heuristic search [25], correction-based library search [26]. The objective is to simplify this searching process, ultimately accelerating the analysis time on the measured data. Furthermore, some studies have been focusing on utilizing machine learning or deep learning techniques as a new approach to speed up data analysis [2729] as well as facilitate effective design [30,31]. Actually, the suitable selection on a starting point for the nonlinear regression analysis greatly impacts on the computational workload and processing time. As a strategy to accelerate this process, an artificial neural network (ANN) was involved to identify an optimal starting point effectively, thereby reducing processing time [32]. However, there may be a risk associated with incorrect selection on the starting point when the ANN model was utilized [33]. In addition, an analysis approach was proposed using ANN deep learning algorithm to predict structural parameters of a 1-D photoresist grating structure from SE measurement data, though the trained neural network from the limited amount of data resulted in a limit in achieving high prediction accuracy [34].

In this study, we present new analytical two-step-approach for utilizing neural network model to predict the structural parameters after matching the measured Mueller matrix (MM) data alongside the most similar value from the library data. To ensure the selection accuracy on the optimal starting point from the relatively limited amount of library data, a nonlinear regression algorithm was firstly employed by simultaneous comparing the measured MM with pre-acquired library data for the smallest difference. Then, a neural network model was applied to predict structural parameters of the measured specimen within very localized zone for the improved accuracy. Finally, the accuracy of our two-step-approach algorithm was evaluated experimentally by predicting the structural parameters from the measured Muller matrix after fabricating a SiO2 grating structure of 38 nm width and 100 nm.

2. Methodology

2.1 Two-step-approach algorithm

The evaluation process of structural parameters on a nanostructure specimen involves both SE measurement and data analysis, as illustrated in Fig. 1(a). In Mueller matrix spectroscopic ellipsometry (MMSE), the MM data were measured firstly and then analyzed to extract physical properties on nano-patterns such as structural parameters of the width and height. The measured MM represents the interaction between the specimen and the electromagnetic field in the form of a 4 × 4 matrix with real values, emerging from alterations in polarized light [35,36]. As the dimensions of a specific periodic structure are defined, its polarization characteristics change accordingly, making each set of structural dimensions correlated with a corresponding set of MM data. This relationship means how variations in structural parameters impact the optical response of the nanostructure, as captured by the MMs. To predict the structural parameters on a specimen from the measured MM, we have developed a novel algorithm composed of two main steps in this study. The first step involves matching the measured MM with the most similar node within the pre-acquired library data for the specific specimen. The second step involves employing a neural network model to predict the structural parameters using the combined MMs of the measured MM and the matched library node data. Here, the neural network model must be trained using the calculated training MM data from RCWA-based simulation, as illustrated in Fig. 1(b). To facilitate training, it is necessary to pair some training data with library data for the sufficient dataset. Furthermore, the first matching approach of the measured MM with library node enables us to focus on the localized dataset effectively to predict the structural parameters, compared to the general deep learning approach. More detailed on both steps of our proposed algorithm will be explained in the next section.

 figure: Fig. 1.

Fig. 1. (a) Both SE evaluation processes consisting of measurement and data analysis. (b) Schematic of the proposed algorithm to predict structural parameters based on the measured MM and library node data. and (c) Multiprocess for matching the measured MM with library node data based on nonlinear regression algorithm.

Download Full Size | PDF

2.2 Matching algorithm on the measured MM with library node data

To analyze the measured MM effectively to predict the structural parameters, it is necessary to decide the specific value of library data before applying neural network algorithm in our proposed method. Thus, it is important to match the measured MM with the most similar library node data. In this study, we adopted a nonlinear regression analysis based on the Levenberg–Marquardt algorithm [37,38], which has been generally utilized in the MM analysis. By considering the difference and the gradient on both MM data through multiple iterations, our algorithm can identify the library node point of smallest difference for the measured MM. Although this algorithm is effective in locating the global minimum of the difference, the matching of an appropriate starting point for iterations is vital to reduce the possibility of getting trapped in a bad solution. While a nominal value has been employed as a starting point in the previous general analysis, however we employed an additional approach to enhance reliability in this study. This involves random multiple selection on many starting points from the estimated range from the nominal value. The Levenberg–Marquardt algorithm was executed for each starting point simultaneously during the multiprocessing approach. This procedure includes iterative comparisons among the library node MMs, facilitating swift operation and enhancing the accuracy of matching the most similar library node for the measured MM, as depicted in Fig. 1(c). Thus, we configured the nonlinear regression to conduct 8 multi-processes simultaneously to compare the difference between several library data and the measured MM, resulting in a reasonable selection on a library node data with minimum difference from the measured MM. Since we selected only two parameters of width and height as well as developed with 8 multi-processes simultaneously in the matching process, all library data could be used to identify a center node which has smallest difference for the measured MM in this study. Of course, it may be necessary to refine the matching zone near the nominal value to increase the analysis resolution for the case of more structural parameters. In addition, we employed a wavelength range from 300 nm to 858 nm in the matching algorithm to enhance the accuracy since there is a relatively rapid change in the MM element according to structural parameter variations in the wavelength range of 220-300 nm. In our methodology, the node selection process is designed to identify the node with the smallest MM difference among adjacent nodes. Since only a node cannot be considered as a center node, our algorithm includes several nearby nodes as a candidate center node for the accurate prediction, as explained in more detail in Section 3.1.

2.3 Neural network algorithm for prediction of structural parameters

A neural network model is employed to predict the structural parameters of the specimen using the combined MMs of the measured MM and the matched library node MMs obtained from the first step. When considering a one-dimensional (1D) grating structure, the grating's shape can be simply characterized using two structural parameters such as height (p1) and width (p2). We assumed that the period of 1D grating was kept constant since it was prepared by photolithographic process. Then, the two structural parameters can be depicted in two-dimensional (2D) parameter space with each parameter aligned along its respective axis. When there is a little variation in the structural parameters for two different specimens of same structure, they show similar MMs. Specifically, altering a structural parameter along a specific axis induces a ‘bias shift’ in the MM components, as depicted in Fig. 2(a). Here, M12 and M33 components were selected to demonstrate the behavior of two different positions of MM components, for example, M12 as an off-diagonal and M33 as a diagonal component. Additionally, when comparing two MM components of different structural parameters (±Δp1, 0) which was added or subtracted incrementally by a fixed interval (Δp1) from the matched node, they exhibit similar bias amplitudes in opposite directions. By comparing their MM components along the line connecting the +Δp1 and -Δp1 points, thus it could be possible to predict the relative position for the measured MM. Nevertheless, the bias shift shows different features in terms of direction as well as the relative region in the 2D parameter space. It also shows different variation tendency for all MM components. Therefore, the optimal prediction model based on neural network algorithm must be constructed by considering various complex bias shifts for all MM components to predict the structural parameters effectively.

 figure: Fig. 2.

Fig. 2. (a) Schematic grating structure, and the bias shift of Mueller matrix (MM) components of M12 and M33 corresponding to small variation in structural parameters in the 2D paramter space. (b) The measured MM (green dot) and the matched MM with ±1 order MM node data (black points), and the bias shift of M12 component. (c) Schematic architecture of the neural network model with three MMs as input and relative structural larameters as output.

Download Full Size | PDF

In addition, we can define two extra node points at which both structural parameters are added or subtracted from the matched node as the +1 and -1 order nodes, respectively, as shown in Fig. 2(b). Since the measured MM has a target point near this matched node, it will be located in the region between -Δp1 < pr1 < +Δp1 and -Δp2 < pr2 < +Δp2. Thus, we can conduct to predict the relative structural parameters of the target point based on the relationship between structural parameters and MMs of these two points, by analyzing the measured MM of the target point and the MMs at the ±1 orders. The neural network model was then trained using the combined MMs at the ±1 order nodes from the library data and at several extra points from newly calculated training data, thus enabling it to predict the relative structural parameters of any measured MM. By sufficiently combining ± orders data with respect to any target point, a training dataset was prepared effectively, inducing exact prediction on the structural parameters and ensuring low prediction error.

3. Results

3.1 Training of a neural network model

In this study, we used the Swin transformer for neural network model which was extensively used in the field of computer vision for handling complex visual tasks since we considered that each MM component corresponds to a specific wavelength evenly distributed within the specified range. The Swin transformer maintains global self-attention properties from the vision transformer, enhances local context through hierarchical structure, and reduces computational complexity, thus demonstrating remarkable achievements in the field of computer vision [39,40]. To adapt the existing 2D data input to the format of the MM data, we transformed them into a 1D data input layer and included refractive index information by adding an embedding layer at the same resolution, thus reflecting the optical characteristics of the grating material. In addition, the output layer was designed with as many units as the number of elements in the predicted difference vector on structural parameters. In terms of hyper-parameters, the arbitrary dimension, the window size, the number of layer, and the attention head are set to C = 48, W = 20, L = 14, and h = 6, respectively, as defaults.

To obtain training data, we conducted data generation process through theoretical MM computations using RCWA-based simulation. All simulation calculations were performed at an incident angle of 70° and azimuthal angles of 0° and 45°. The wavelength range was considered from 220 nm to 858 nm, with 320 points designated at 2 nm intervals. Two azimuthal angles are related to the measurement direction of MMSE on the corresponding nanostructure. The generated data was spanned within the range of 0 to 200 nm in the height and 0 to 75 nm in the width with a fixed pitch of 76 nm for the 1-D grating SiO2 nanostructure. For library data, the generated simulation data with 5 nm intervals were considered as node data within a 2D parameter space, defined by width and height as respective axes. Additionally, some point data were generated randomly in the 2D parameter space between the node points for training, as shown in Fig. 3(a). To complete the trained neural network model, the combined MMs of ±1 order nodes and each point data were used. Actually, lots of pairing process on the training point data with near located node data were carried out to train the neural network model, as shown in Fig. 3(b). If a training point was located inside a unit cell, 4 nodes near the point data were considered separately as a center node, followed 4 operations of training process. If a training point was located on the library node, 9 nodes near the point were also considered as a center node with 9 operations of training process. Here, we defined the number of extra training data probabilistically distributed within a unit cell as data density. This was also adjusted to relate the performance of the trained neural network model as function of the quantity and distribution of training data.

 figure: Fig. 3.

Fig. 3. (a) Illustration of unit cell, node data, and training point data in the 2D parameter space. (b) Multiple pairings of the point data with near located nodes for training the neural network model.

Download Full Size | PDF

Then, we generated several datasets by altering the data density to 1, 3, 5, 7, 9, and 11 across all ranges of the 2D parameter space. Additionally, we changed the range of the height and width to understand the performance of neural network model in the relatively limited range. Table 1 lists the characteristics of each dataset for the parameter range, data density, and number of extra training data. The training was conducted using each training dataset by utilizing the Intel Xeon W-2245 central processing unit operated at 3.90 GHz and the NVIDIA RTX A5000 1 graphics processing unit. The performance test for the neural network model was also analyzed using the separately prepared test dataset for all cases.

Tables Icon

Table 1. Dataset characteristics based on training data range and data density

3.2 Evaluation for prediction algorithm

We compared and analyzed the performance of the trained neural network model for all datasets using the mean absolute error (MAE) with respect to structural parameters [41]. The MAE distribution, as depicted in Fig. 4(a), shows that values range from 0.07 to 0.1 nm for data densities over 5 or higher. However, MAE shows the increased value with data densities below 3, thus indicating that this neural network model requires any minimum data density such as 5.

 figure: Fig. 4.

Fig. 4. Mean absolute error (MAE) on the prediction of structural parameters for the height and width, as a function of (a) data density across all parameter ranges, and (b) parameter range of height with a data density of 7.

Download Full Size | PDF

We also measured the MAE for the case of relatively narrower range of structural parameters with a fixed data density of 7. The MAE distribution was maintained below 0.1 nm even for the case with the dataset generated from only 334 training data for the height range of 40 nm, as shown in Fig. 4(b).

We also conducted comprehensive evaluation for both steps of our proposed prediction algorithm. Here, 55 evaluation data were selected randomly as the input MM for the analysis of structural parameters for the case with height range of 50-150 nm and width range of 25-50 nm, respectively. Through the first step in our algorithm, it was possible to find the matched node successfully with the difference of less than 5 nm in structural parameters as depicted in Fig. 5(a). Thus, we could continue the second step to predict the relative structural parameters using this specific node matched from library data. As a result of the neural network model based on the combined MMs of the ±1 order data of node and the evaluation point, the structural parameters were predicted, as shown in Fig. 5(b). Consequently, it was confirmed that the structural parameters for all 55 data were predicted successfully with an MAE below 0.1 nm using our prediction algorithm.

 figure: Fig. 5.

Fig. 5. Evaluation results on both steps of (a) matching algorithm for the measured MM with library node data and (b) prediction algorithm of structural parameters. The proposed prediction algorithm was confirmed with an MAE below 0.1 nm using 55 evaluation data.

Download Full Size | PDF

3.3 Experimental evaluation

To assess the prediction performance of the proposed algorithm for structural parameters, we fabricated a specimen of one dimensional SiO2 grating on Si wafer, featuring the nominal values of 76 nm pitch, 100 nm height, and 38 nm width, respectively. The Mueller matrix was measured at an incident angle of 70° with both azimuthal angles of 0° and 45° over a wavelength range of 193 to 1690 nm using a commercial SE (Woollam RC2 by J. A. Woollam Company, NE, USA). Then, the measured MM data in the range of 300 nm to 858 nm was selectedto apply to our developed prediction algorithm to predict the structural parameters of one dimensional SiO2 grating. In addition, the specimen was observed in scanning electron microscopy to obtain actual structural dimension for the comparison.

Table 2 shows the evaluation results, including the nominal dimension, the predicted value through our algorithm, and the observed dimension from scanning electron microscopy (SEM) microphotograph as shown in Fig. 6(a). The nominal value represents the designed value while the predicted value corresponds to the output from the proposed algorithm. When the measured MM was applied to the first matching algorithm, the specific node was selected as height of 105 nm and width of 35 nm, respectively. The combined data from the measured MM and ±1 order data of node was then fed into the neural network model to predict the relative structural parameters from the node value. Finally, the structural parameters were obtained with height of 100.7 nm and width of 38.12 nm, respectively. We believe that these predicted values are reasonable with high accuracy after comparing the observed dimensions from SEM microphotograph. Actually, there may be a difficulty for measuring the height of grating patterns exactly from the cross-sectional SEM observation. In addition, the calculated MM from the predicted structural parameters were compared with the measured MM to confirm the accuracy of our proposed prediction algorithm, as shown in Fig. 6(b). From these results, it was confirmed that our developed prediction algorithm can offer a rapid and precise assessment for lots of nanostructures, including a grating structure. Thus, this methodology is expected to be used as nondestructive analysis method for the structural parameters in various applications.

 figure: Fig. 6.

Fig. 6. (a) Cross-sectional microphotograph of scanning electron microscopy for the fabricated one-dimensional SiO2 grating nanostructure with nominal values of width of 38 mm and height of 100 nm, respectively. (b) Comparison of the calculated MM spectra from the predicted structural parameters with the measured MM spectra at an azimuthal angle of 45°.

Download Full Size | PDF

Tables Icon

Table 2. Comparison on the predicted values for the 1D SiO2 grating with those observed by SEM

4. Conclusions

We proposed and demonstrated the prediction algorithm on structural parameters of the nanostructure using Mueller matrix spectroscopic ellipsometry, based on a neural network model with localized simulation data through two-step approach such as matching algorithm of the measured MM and prediction algorithm of structural parameters. By matching the measured MM with the most similar node in the library data, we restricted the prediction range in the 2D parameter space, thereby enhancing prediction accuracy. The node data were prepared at equidistant intervals of 5 nm, thus allowing us to utilize smaller amount of library data. Then, we predicted the structural parameters using the developed neural network model after training process. To construct the dataset for the neural network model, we generated MM data based on RCWA simulation and treated them as random training data. Verification was conducted using simulated evaluation data with data density of 7, resulting in an accuracy of MAE below 0.1 nm for the prediction of the width and height of 1-D grating structure through our two-step approach algorithm. Finally, experimental validation on SiO2 grating of 38 nm width and 100 nm height resulted in a reasonable agreement on the predicted dimensions by our proposed prediction algorithm with those observed by scanning electron microscopy. The applicability of the proposed prediction algorithm will be extended to more complex structural parameters such as surface roughness as well as various materials such as anisotropic materials and polymers of complex refractive indices in the future. Thus, it is expected to apply the proposed method as a valuable analysis tool in semiconductor production line as well as evaluation on the designed shape of optical metamaterials.

Funding

Ministry of Science and ICT, South Korea (2023-22030004-20); Commercialization Promotion Agency for R&D Outcomes (NTIS, 1711179106).

Disclosures

The author declares no conflicts of interest.

Data availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

Supplemental document

See Supplement 1 for supporting content.

Reference

1. H. Fujiwara and I. Wiley, Spectroscopic ellipsometry : principles and applications (John Wiley & Sons, Chichester, England ; Hoboken, NJ, 2007).

2. R. M. A. Azzam and N. M. Bashara, Ellipsometry and polarized light (North-Holland Pub. Co.;sole distributors for the U.S.A. and Canada, Elsevier North-Holland, Amsterdam ; New York, 1977), pp. xvii, 529 p.

3. G. E. Jellison and F. A. Modine, “Two-modulator generalized ellipsometry: theory,” Appl. Opt. 36(31), 8190–8198 (1997). [CrossRef]  

4. J. Mohrmann, T. E. Tiwald, J. S. Hale, et al., “Application of a B-spline model dielectric function to infrared spectroscopic ellipsometry data analysis,” J. Vac. Sci. Technol. 38(1), 014001 (2020). [CrossRef]  

5. J. Lee, J. Koh, and R. W. Collins, “Dual rotating-compensator multichannel ellipsometer: Instrument development for high-speed Mueller matrix spectroscopy of surfaces and thin films,” Rev. Sci. Instrum. 72(3), 1742–1754 (2001). [CrossRef]  

6. N. G. Orji, M. Badaroglu, B. M. Barnes, et al., “Metrology for the next generation of semiconductor devices,” Nat. Electron. 1(10), 532–547 (2018). [CrossRef]  

7. Sonal Dey, Alian C. Diebold, Nick Keller, et al., “Mueller matrix spectroscopic ellipsometry based scatterometry simulations of Si and Si/SixGe1-x/Si/SixGe1-x/Si fins for sub-7 nm node gate-all-around transistor metrology,” Metrology, Inspection, and Process Control for Microlithography XXXII. Vol. 10585. SPIE, (2018).

8. J. A. Jung, W. O. Kim, J. I. Kim, et al., “Multi spectral holographic ellipsometry for a complex 3D nanostructure,” Opt. Express 30(26), 46956–46971 (2022). [CrossRef]  

9. X. G. Chen, H. G. Gu, J. M. Liu, et al., “Advanced Mueller matrix ellipsometry: Instrumentation and emerging applications,” Sci. China Technol. Sci. 65(9), 2007–2030 (2022). [CrossRef]  

10. Y. C. Chang, C. H. Liu, C. H. Liu, et al., “Realization of mid-infrared graphene hyperbolic metamaterials,” Nat. Commun. 7, 10568 (2016). [CrossRef]  

11. M. Korde, S. Kal, C. Alix, et al., “Nondestructive characterization of nanoscale subsurface features fabricated by selective etching of multilayered nanowire test structures using Mueller matrix spectroscopic ellipsometry based scatterometry,” J Vac Sci Technol B 38(2), 024007 (2020). [CrossRef]  

12. T. Novikova, A. De Martino, S. Ben Hatit, et al., “Application of Mueller polarimetry in conical diffraction for critical dimension measurements in microelectronics,” Appl. Opt. 45(16), 3688–3697 (2006). [CrossRef]  

13. S. Y. Liu, X. G. Chen, and C. W. Zhang, “Development of a broadband Mueller matrix ellipsometer as a powerful tool for nanostructure metrology,” Thin Solid Films 584, 176–185 (2015). [CrossRef]  

14. X. G. Chen, S. Y. Liu, C. W. Zhang, et al., “Accurate characterization of nanoimprinted resist patterns using Mueller matrix ellipsometry,” Opt. Express 22(12), 15165–15177 (2014). [CrossRef]  

15. X. N. Zhang, J. Qiu, X. C. Li, et al., “Complex refractive indices measurements of polymers in visible and near-infrared bands,” Appl. Opt. 59(8), 2337–2344 (2020). [CrossRef]  

16. Enric Garcia-Caurel, Razvigor Ossikovski, Martin Foldyna, et al., “Advanced Mueller ellipsometry instrumentation and data analysis.” Ellipsometry at the Nanoscale, 31–143 (2013).

17. B. M. Ayupov, V. S. Sulyaeva, V. R. Shayapov, et al., “Searching for the starting approximation when solving inverse problems in ellipsometry and spectrophotometry,” J. Opt. Technol. 78(6), 350–354 (2011). [CrossRef]  

18. D. V. Likhachev, “On the optimization of knot allocation for B-spline parameterization of the dielectric function in spectroscopic ellipsometry data analysis,” J. Appl. Phys. 129(3), 034903 (2021). [CrossRef]  

19. A. Franquet, J. De Laet, T. Schram, et al., “Determination of the thickness of thin silane films on aluminium surfaces by means of spectroscopic ellipsometry,” Thin Solid Films 384(1), 37–45 (2001). [CrossRef]  

20. K. Vedam, P. J. Mcmarr, and J. Narayan, “Nondestructive depth profiling by spectroscopic ellipsometry,” Appl. Phys. Lett. 47(4), 339–341 (1985). [CrossRef]  

21. A. Ebner, R. Zimmerleiter, K. Hingerl, et al., “Towards real-time in-situ mid-infrared spectroscopic ellipsometry in polymer processing,” Polymers 14(1), 7 (2022). [CrossRef]  

22. S. W. Lee, S. Y. Lee, G. Choi, et al., “Co-axial spectroscopic snap-shot ellipsometry for real-time thickness measurements with a small spot size,” Opt. Express 28(18), 25879–25893 (2020). [CrossRef]  

23. D. Mukherjee and P. Petrik, “Real-time ellipsometry at high and low temperatures,” ACS Omega 8(4), 3684–3697 (2023). [CrossRef]  

24. C. F. Guo, Y. T. Shi, H. X. Wu, et al., “A combination of library search and Levenberg-Marquardt algorithm in optical scatterometry,” Thin Solid Films 767, 139670 (2023). [CrossRef]  

25. J. L. Zhu, H. Jiang, Y. T. Shi, et al., “Fast and accurate solution of inverse problem in optical scatterometry using heuristic search and robust correction,” J. Vac. Sci. Technol. 33, 1 (2015). [CrossRef]  

26. X. G. Chen, S. Y. Liu, C. W. Zhang, et al., “Improved measurement accuracy in optical scatterometry using correction-based library search,” Appl. Opt. 52(27), 6726–6734 (2013). [CrossRef]  

27. Q. M. Sun, D. K. Yang, T. J. Liu, et al., “Nondestructive monitoring of annealing and chemical-mechanical planarization behavior using ellipsometry and deep learning,” Microsyst. Nanoeng. 9(1), 50 (2023). [CrossRef]  

28. Y. F. Li, Y. F. Wu, H. S. Yu, et al., “Deep Learning for Rapid Analysis of Spectroscopic Ellipsometry Data,” Adv. Photonics Res. 2(12), 2100147 (2021). [CrossRef]  

29. S. Robert and A. Mure-Ravaud, “Resolution of the inverse problem of optical grating testing by mean of a neural network,” Proc. SPIE 5252, 156–165 (2004). [CrossRef]  

30. Z. Y. Wang, Y. C. Lin, K. Y. Zhang, et al., “Measuring complex refractive index through deep-learning-enabled optical reflectometry,” 2D Mater. 10(2), 025025 (2023). [CrossRef]  

31. A. Lininger, M. Hinczewski, and G. Strangi, “General inverse design of layered thin-film materials with convolutional neural networks,” ACS Photonics 8(12), 3641–3650 (2021). [CrossRef]  

32. III Urban, K. Frank, and Milad F. Tabet, “Real time, in-situ ellipsometry solutions using artificial neural network pre-processing,” Thin Solid Films 245(1-2), 167–173 (1994). [CrossRef]  

33. J. C. Liu, D. Zhang, D. Q. Yu, et al., “Machine learning powered ellipsometry,” Light: Sci. Appl. 10(1), 55 (2021). [CrossRef]  

34. I. Gereige, S. Robert, M. Stchakovsky, et al., “Ellipsometric characterization of photo-resist gratings using artificial neural network,” physica status solidi c 5(5), 1133–1136 (2008). [CrossRef]  

35. A. Laskarakis, S. Logothetidis, E. Pavlopoulou, et al., “Mueller matrix spectroscopic ellipsometry: formulation and application,” Thin Solid Films 455-456, 43–49 (2004). [CrossRef]  

36. J. N. Hilfiker, N. N. Hong, and S. Schoeche, “Mueller matrix spectroscopic ellipsometry,” Adv. Opt. Technol. 11(3-4), 59–91 (2022). [CrossRef]  

37. Jorge J Moré, “The Levenberg-Marquardt algorithm: implementation and theory,” Numerical analysis: proceedings of the biennial Conference held at Dundee, June 28–July 1, 1977. Berlin, Heidelberg: Springer Berlin Heidelberg, (2006).

38. X. G. Chen, S. Y. Liu, C. W. Zhang, et al., “Measurement configuration optimization for accurate grating reconstruction by Mueller matrix polarimetry,” J. Micro-Nanolith Mem. 12(3), 033013 (2013). [CrossRef]  

39. Z. Liu, Y. T. Lin, Y. Cao, et al., “Swin transformer: hierarchical vision transformer using shifted windows,” 2021 Ieee/Cvf International Conference on Computer Vision (Iccv 2021), 9992-10002 (2021). [CrossRef]  

40. Alexey Dosovitskiy, Lucas Beyer, Alexander Kolesnikov, et al., “An image is worth 16 × 16 words: Transformers for image recognition at scale,” arXiv, arXiv:2010.11929 (2020). [CrossRef]  

41. C. J. Willmott and K. Matsuura, “Advantages of the mean absolute error (MAE) over the root mean square error (RMSE) in assessing average model performance,” Clim. Res. 30, 79–82 (2005). [CrossRef]  

Supplementary Material (1)

NameDescription
Supplement 1       Prediction Algorithm for Three Structural Parameters

Data availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (6)

Fig. 1.
Fig. 1. (a) Both SE evaluation processes consisting of measurement and data analysis. (b) Schematic of the proposed algorithm to predict structural parameters based on the measured MM and library node data. and (c) Multiprocess for matching the measured MM with library node data based on nonlinear regression algorithm.
Fig. 2.
Fig. 2. (a) Schematic grating structure, and the bias shift of Mueller matrix (MM) components of M12 and M33 corresponding to small variation in structural parameters in the 2D paramter space. (b) The measured MM (green dot) and the matched MM with ±1 order MM node data (black points), and the bias shift of M12 component. (c) Schematic architecture of the neural network model with three MMs as input and relative structural larameters as output.
Fig. 3.
Fig. 3. (a) Illustration of unit cell, node data, and training point data in the 2D parameter space. (b) Multiple pairings of the point data with near located nodes for training the neural network model.
Fig. 4.
Fig. 4. Mean absolute error (MAE) on the prediction of structural parameters for the height and width, as a function of (a) data density across all parameter ranges, and (b) parameter range of height with a data density of 7.
Fig. 5.
Fig. 5. Evaluation results on both steps of (a) matching algorithm for the measured MM with library node data and (b) prediction algorithm of structural parameters. The proposed prediction algorithm was confirmed with an MAE below 0.1 nm using 55 evaluation data.
Fig. 6.
Fig. 6. (a) Cross-sectional microphotograph of scanning electron microscopy for the fabricated one-dimensional SiO2 grating nanostructure with nominal values of width of 38 mm and height of 100 nm, respectively. (b) Comparison of the calculated MM spectra from the predicted structural parameters with the measured MM spectra at an azimuthal angle of 45°.

Tables (2)

Tables Icon

Table 1. Dataset characteristics based on training data range and data density

Tables Icon

Table 2. Comparison on the predicted values for the 1D SiO2 grating with those observed by SEM

Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.