Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Integrated photonic devices enabled by silicon traveling wave-like Fabry–Perot resonators

Open Access Open Access

Abstract

Integrated photonic devices play a key role in modern optical communications, of which optical resonators are important fundamental structures. This work proposes and experimentally demonstrates compact integrated photonic devices based on a traveling wave-like Fabry–Perot (TW-like FP) resonator(s) coupled with waveguides. Add-drop filters based on a single TW-like FP resonator have been realized with a high drop efficiency and the same output direction for the through and drop ports. Particularly, their transmission response can be either symmetric Lorentzian or asymmetric Fano line shape, through adjusting the shift between the two bus waveguides and the waveguide widths. Fano resonance has been demonstrated in a TW-like FP resonator with a very high extinction ratio and large slope rate. The second-order optical filter exhibits low-loss flat-top passbands with small ripples. Owing to the compact size, easy scalability, and large flexibility, TW-like FP cavity-based devices using Fano and Lorentzian resonances will provide new potential applications in integrated photonics.

© 2022 Optica Publishing Group under the terms of the Optica Open Access Publishing Agreement

1. Introduction

In recent decades, silicon-based photonic devices have gained global interest due to the increasing demand for optoelectronic integrated circuits with very high link capacity, low cost, and high complexity [13]. Optical resonator is a promising building block to construct various integrated photonic devices, owing to the small footprint, good scalability, and low power consumption. Typically, a single resonator exhibits a symmetric Lorentzian-like line shape, and coupled resonators (or resonant modes) with different Q-factors exhibit an asymmetric Fano line shape [47]. Resonators with Lorentzian/Fano line shape have been widely employed for on-chip optical switching and modulating, lasing, and filtering [811]. Microring resonators (MRRs) and photonic crystal (PC) cavities are conventional and popular resonators utilized in various functional optical devices, such as filters [1214], lasers [15,16], and switches [1719]. Single PC point/line defect cavity suffers from the limited drop efficiency (≤25%) [20], while dual identical PC cavities can enable 100% transmission at the drop port [12,2024]. However, the dual-cavity strategy increases the size and the loss of the devices, and it is difficult to perfectly fabricate two identical PC cavities. Meanwhile, owing to the complicated design and fabrication process, using multiple series-coupled PC defect cavities to fabricate high-order optical filters is difficult [2528]. Even though a second-order filter with a flat-top response can be fabricated successfully using point defects in the PC slab, for example, the device has small bandwidths and large drop losses owing to the fabrication process [29]. MRRs are also widely used in photonics to shrink the size of passive and active devices [3033]. However, to achieve the identical directions using MRRs from input port to drop port, other structures could be added in devices, increasing the size of devices [33]. In addition, to realize the asymmetric optical spectrum of Fano resonance, the side-coupled structures of the FP cavity or Sagnac loop mirrors are often applied to MRRs to add an additional phase [34,35], increasing the size and complexity of the design.

Recently, traveling wave-like Fabry–Perot (TW-like FP) resonator has been presented and emerged as an attractive type of resonator [13,3640]. Based on two antisymmetric Bragg gratings, a TW-like FP resonator with a high extinction ratio and high Q-factor has been demonstrated using the forward first-order mode and the backward fundamental mode [39]. However, they require a cavity larger than 200 µm due to the weak reflections of antisymmetric Bragg grating. To improve the reflections, two-row PC reflectors can be added to the cavity, allowing 98% mode-conversion reflection. However, add-drop filters (ADFs) based on two-row anti-symmetric PCs still have high insertion loss [38,40]. In addition, to the best of our knowledge, TW-like FP resonators exhibiting Fano resonance have not yet been reported.

In this work, we have proposed and experimentally demonstrated many TW-like FP resonator-based photonic devices. An analytical model was provided to describe the TW-like FP resonator with Fano and Lorentzian resonances using the transfer matrix method. Following these design rules, we experimentally demonstrated a series of photonic devices with excellent performance. We found that TW-like FP resonator-based ADFs have a compact size and low loss of Lorentzian resonance. In addition, the all-pass filters have a high Q-factor. For TW-like FP resonator-based devices with Fano resonance, a high extinction ratio and large slope rate were obtained. The transmission spectra for different bus waveguides widths show various line shapes of resonances due to the phase shift. In addition, the second-order optical filter exhibited low-loss flat-top passbands with small ripples. Because silicon photonics are appealing in terms of standard complementary metal oxide–semiconductor compatibility, we realized all the devices on a nanophotonic silicon-on-insulator (SOI) platform.

2. TW-like FP resonator coupled with waveguides

The proposed TW-like FP cavity has large flexibility for Fano and Lorentzian resonances. Figure 1(a) and 1(b) show that the proposed TW-like FP resonator is side-coupled with two outer waveguides. As shown, there are six optical field ports (denoted by a0, a1, a2, b0, b1, and b2) and a shift in length (i.e. Ls) between two outer waveguides. The shift (Ls) between the two bus waveguides has a great influence on the transmission of resonances. In Fig. 1(a), a three-waveguide system is formed by two single-mode waveguides and one waveguide in the cavity. The power coupling between two outer waveguides is shown, and the coupling coeffciency is kc. Using transfer matrix method, the input-output relations for the three-waveguide coupled system [41] can be expressed as follows:

$$\left[ {\begin{array}{c} {{b_0}}\\ {{b_1}}\\ {{b_2}} \end{array}} \right] = \left[ {\begin{array}{ccc} {{t_0}{e^{j{\theta_t}}}}&{ - jk}&{\textrm{ - }{k_c}{e^{j{\theta_c}}}}\\ { - jk}&{{t_1}{e^{j{\theta_m}}}}&{ - jk}\\ { - {k_c}{e^{j{\theta_c}}}}&{ - jk}&{{t_0}{e^{j{\theta_t}}}} \end{array}} \right] \cdot \left[ {\begin{array}{c} {{a_0}}\\ {{a_1}}\\ {{a_2}} \end{array}} \right]$$
where –jk and kc are the field coupling coefficient between two adjacent waveguides and the field coefficient between two outer waveguides, respectively; t0 and t1 are the field transmission coefficient for each waveguide; and θm, θt, and θc are the phase shifts imparted by the FP cavity, two outer waveguides, and two adjacent waveguides, respectively. For the FP resonator-based devices, the undesired reflections at the add port and input port mainly result from the incomplete mode conversion between TE0 and TE2, since partial TE0 can also be reflected. Moreover, the power of TE1 can pass through the reflectors and leak out of the cavity. Considering the undesired reflection and loss, we have the following expressions:
$$\begin{array}{l} {t_0^2 + {k^2} + k_c^2 = 1}\\ {{k^2} + t_1^2 + {k^2} \le 1}\\ {k_c^2 + {k^2} + t_0^2 = 1} \end{array}$$

 figure: Fig. 1.

Fig. 1. Configuration of a traveling wave-like Fabry–Perot (TW-like FP) resonator. Top view of the cavity with Bragg grating reflectors (a) when there is no shift (Ls = 0) between two outer bus waveguides and (b) when there is a shift (Ls) between the two outer waveguides. (c) The structure of the FP cavity with tapered holes, uniform holes, and multimodal waveguide L. (d) Mode distributions in the bus waveguide and cavity.

Download Full Size | PDF

Here, we have the relation ${a_1} = \alpha {e^{j{\theta _1}}}{b_1}$, where α is the round-trip transmission coefficient, and θ1 is the round-trip phase shift in the FP cavity. Then, the transfer functions of the TW-like FP resonator coupled with waveguides at the through port (St) and drop port (Sd) can be expressed as follows:

$${S_t} = {t_0}{e^{j{\theta _t}}} - \frac{{{k^2}\alpha {e^{j{\theta _1}}}}}{{1 - \alpha {t_1}{e^{j({\theta _1} + {\theta _m})}}}}$$
$${S_d} ={-} {k_c}{e^{j{\theta _c}}} - \frac{{{k^2}\alpha {e^{j{\theta _1}}}}}{{1 - \alpha {t_1}{e^{j({\theta _1} + {\theta _m})}}}}$$

From the analytical calculations, we find that Fano transmission response can be generated, as illustrated in Fig. 1(a). The solid and dashed dotted lines show that the asymmetric response can be changed by tuning the direct power coupling (kc) and phase shifts (θm, θt, and θc) in system. When the shift length Ls is sufficient, the direct power transfer between two outer waveguides is avoided (i.e., kc = 0), as shown in Fig. 1(b). Then, the transfer functions of the system at the output ports can be simplified and described by the following expressions:

$${S_t} = {t_0} - \frac{{{k^2}\alpha {e^{j{\theta _1}}}}}{{1 - \alpha {t_1}{e^{j{\theta _1}}}}}$$
$${S_d} ={-} \frac{{{k^2}\alpha {e^{j{\theta _1}}}}}{{1 - \alpha {t_1}{e^{j{\theta _1}}}}}$$

In this case, the system exhibits Lorentzian resonance, as shown in Fig. 1(b).

After that, we take the TW-like FP resonator with two PC Bragg reflectors shown in Fig. 1(c) as an example and qualitatively investigate its operating characteristics. This TW-like FP cavity consists of two mode-conversion reflectors and a multimodal waveguide (length: L). A Bragg grating reflector with tapered holes is plotted in Fig. 2 (a). The diameters of the tapered holes are 40 nm, 60 nm, 80 nm, 100 nm, 120 nm, and 140 nm, respectively. The diameter of the six uniform holes and grating period are 160 nm and 360 nm, respectively. Then, Hz field distributions in the x–y plane of the reflector are obtained using three-dimensional finite-difference time-domain simulations. The operation wavelength is 1550 nm. Figure 2(b) shows that the input TE0 mode is reflected and converted into TE2 mode by the reflector, while Fig. 2(d) shows the TE2 mode reflected and converted into TE0 mode. Therefore, in the FP cavity, the forward-propagating TE2 is coupled to the next bus waveguide due to the phase matching, and the backward-propagating TE0 is converted into the forward-propagating TE2 by the reflector. The TE0 and TE2 modes in the cavity are shown in Fig. 1(d). Therefore, the TW-like FP cavity-based filter realizes directional coupling using modal conversion of the cavity. For the TW-like FP cavity-based ADF, the fundamental mode (TE0) in the bus waveguide is partially coupled to be TE2 in the FP cavity due to phase matching [36]. When the width of the bus waveguide is increased to 450 nm, the TE1 mode in the cavity is also excited. TE1 mode leaks out at the output because there is no reflection for the reflector, as seen in Fig. 2(c). Then, according to Eqs. (3) and (4), the theoretical calculations agree well with the simulation data (solid lines) (Fig. S1 of Supplement 1).

 figure: Fig. 2.

Fig. 2. (a) Top view of the Bragg grating reflector. Hz field distributions in the Bragg grating reflector with inputting (b) TE0 mode, (c) TE1 mode, and (d) TE2 mode.

Download Full Size | PDF

According to the system of the TW-like FP resonator coupled with waveguides, we obtained TW-like FP resonator-based integrated photonic devices. ADFs using Fano and Lorentzian line shapes can be obtained easily using Eqs. (3) and (6). The Lorentzian resonance-based ADFs for Eqs. (5) and (6) can be realized when there are no phase shifts (θm, θt, and θc) and (kc = 0) for Fano resonance-based ADFs. Additionally, for the TW-like FP resonator-based second-order filter in Fig. S2 (Supplement 1), owing to the large gap between the two outer bus waveguides, the coupling power (kc) is ignored. Therefore, we could theoretically calculate second-order optical filters with flat-top passbands [42]. In this work on TW-like FP resonator-based functional devices, the field coupling coefficient (kc) between two outer waveguides is mainly determined by Wbus, W, and gap. The phase shifts (θm, θt, and θc) in the three-waveguide coupled system are mainly determined by Wbus and Ls in this device. The proposed TW-like FP resonator-based integrated photonic devices will be experimentally demonstrated in the following sections.

3. TW-like FP resonators with Fano/Lorentzian line shapes

Our devices were fabricated on a SOI wafer with a 220-nm-thick top silicon layer and a 2-µm-thick buried oxide layer. The device patterns were first spin coated with 320 nm of material and defined on the resist (AR-P 6200.09) by electron beam lithography (Vistec EBPG 5000 Plus). Then, they were transferred to the silicon layer etched by inductively coupled plasma etching (Oxford Plasmalab System 100 ICP180) using an SF6/C4F8 mixture. The waveguides and uniform holes of the devices were etched to a depth of 220 nm. The tapered holes had different etching depths because of the microloading effect in the etching process. Finally, a Plasma Enhanced Chemical Vapor Deposition SiO2 layer with a thickness of 2 µm was used as the top cladding for the devices. The Santec Swept Test System, composed of an optical power meter (MPM-210) and a tunable laser (TSL-510), was utilized to characterize the TW-like FP cavity-based devices.

TW-like FP resonator-based Lorentzian resonance is easily realized when the Ls is large enough. Figure 3(a) shows the scanning electron micrograph (SEM) of ADFs based on the TW-like FP resonator. It has one multimodal waveguide, two bus waveguides, and two Bragg grating reflectors. Here, the part of the resonator is shown in Fig. 3(b) and 3(c), and we take the case of W = 1.0 µm, Wbus = 420 nm, and Ls= 1.0 µm as an example. To quantify the influence of tapered holes in the reflector, we compared the devices with and without tapered holes. Figure 3(c) shows the top-view and side-view SEMs of the tapered and uniform holes. As seen, the tapered holes (diameters: d1, d2d6) had different depths due to the microloading effect in the etching process. The nearly symmetric spectral responses at the through, drop, and add ports with and without tapered holes are shown in Figs. 3(d) and 3(e), respectively.

 figure: Fig. 3.

Fig. 3. Characterization of TW-like FP cavity-based filters with Lorentzian resonance. SEM images (false color) of the fabricated ADF (a) and details of the structure (b). (c) Tapered holes in Bragg grating reflectors and cross-sectional view of tapered and uniform holes. The hole diameters (d1d6) are 40 nm, 60 nm, 80 nm, 100 nm, 120 nm, and 140 nm, respectively. (d) Experimental transmission spectra without tapered holes when L is 30 µm, and € with tapered holes when L is 3.5 µm. (f) The transmission spectra of two all-pass filters with L = 10 µm and 30 µm around the wavelength of 1550 nm. Here, circles in the insets of Figs. (d)–(f) represent the experimental results, and solid lines are the fitting curves.

Download Full Size | PDF

On the one hand, the phenomenon of resonance splitting at around 1550 nm when L was 30 µm (see Fig. 3(d)) can be attributed to the incomplete mode conversion between the forward-propagating TE2 and backward-propagating TE0 in the FP cavity [36]. In this case, the transmission of the device is similar to that of a second-order filter [43]. The theory (solid curves) agrees well with the measured spectrum in the inset. There are also optical fields with equivalent energy at the add port at around 1555 nm because of the destruction of directional coupling. On the other hand, Fig. 3(e) shows that the drop loss of ADFs was less than 1.2 dB, and the extinction ratio was 18 dB when ADFs had six tapered holes in the reflectors and L was 3.5 µm. The free spectral range (FSR) also reached 35 nm, covering the C band. The inset showed that the theory (solid curves) was proven with the transmission calculated using Eqs. (5) and (6). Therefore, the tapered holes of the FP cavity shown in Figs. 3(d) and 3(e) mainly serve the function of directional coupling and mode conversion in the TW-like FP cavity. Additionally, the bandwidth of the resonance at around 1530 nm in Fig. 3(e) was broader than that at 1565 nm. This is because of the large range between resonances (36 nm). Figure 3(f) also shows TW-like FP cavity-based all-pass filters (APFs) with L = 10 µm and 30 µm near the wavelength of 1550 nm. The 3-dB bandwidth of resonance was 0.26 nm and 0.14 nm for L = 10 µm and 30 µm, respectively. The smaller bandwidth was due to the larger length when other parameters were fixed. According to the transmission function of APFs, the loss coefficient of 0.978 for L = 10 µm was larger than that of 0.972 for L = 30 µm. Larger loss in the cavity was introduced by a greater multimodal waveguide length of L = 30 µm. TW-like FP-based filters with Lorentzian line shapes were obtained when L was 1.0 µm between two bus waveguides, and the fundamental structure will have great applicability in integrated photonics.

Table 1 summarizes the performance characteristics of various cavity-based ADFs with Lorentzian resonance on silicon. The cavity-based ADFs in [12,21,24] have a pair of degenerate supermodes that achieve high efficiency to one port. However, the structure of the PCNC increases the size and loss. It is also challenging to perfectly fabricate two identical cavities. The single Fabry–Perot cavity using two-row PCs (FP using PC), as in [38], had a compact size but a low extinction ratio. The structures of the single cavities between the two waveguides suffer from limited dropping efficiency. In [44], an asymmetric Y-branch waveguide coupler and a shifted-grating mode-conversion cavity were combined to produce a new type of add-drop filter. The filter was shown to have a large Q-factor with a large insertion loss and a large size. The MRRs in [45,46] enabled a high Q-factor and a high extinction ratio. However, the inside bending loss of the MRR limits the Q-factor and drop efficiency, especially for small-radius MRRs. Therefore, the present TW-like FP cavity-based filter with Lorentzian resonance provides an excellent option to enable a small, high-extinction-ratio, and large FSR in silicon-based ADFs.

Tables Icon

Table 1. Performance comparison of cavity-based filters with Lorentzian resonancea

Next, unlike the asymmetrical structure using Lorentzian resonance mentioned above, Fano resonance was produced due to the interference of a discrete state and a continuum of states [11,47,48]. For symmetrical TW-like FP cavity-based devices, the discrete and continuous states are supported by the TW-like FP resonator and two outer bus waveguides, respectively. The additional phase θt from two outer bus waveguides offers the freedom to engineer the shape of the Fano resonance. The TW-like FP cavity-based filter can realize Fano resonance when there is no shift between the two bus waveguides (i.e., Ls = 0). As shown in Fig. 4, Wbus plays an important role in the asymmetric line shape of Fano resonance. When the gap space between the bus waveguide and the multimodal waveguide is small (e.g., gap = 80 nm), the spectra shown in Fig. 4(a) with Wbus= 360 nm are asymmetric. The wavelength detuning of the asymmetric line shape in Fig. 4(a) is 2.1 nm, which is smaller than that of 6 nm in Fig. 4(b). This means that the slope rate (ER/wavelength tuning) for the former is about 3.5 times that for the latter. The slope rate nearly reaches the minimum value when the width of the bus waveguide is 420 nm. The coupling efficiency is reduced by increasing Wbus. As Wbus is enlarged to 450 nm (Fig. 4(d)), the 3-dB bandwidth is reduced to 0.29 nm. Note that the output transmission at Add port in Figs. 4(a) and 4(d) is lower than ∼ -35 dB. The directionality could be improved by this process of experiments. Figure 4(e) illustrates the device with L = 100 µm and Wbus = 450 nm. The slope rate of this Fano line shape has a substantial increase to 73 dB/nm at 1563 nm. As shown, the large extinction ratio of the transmission spectra at the drop port covers the wavelength from 1520 nm to 1570 nm. Additionally, the irregular resonance in the gray area is in the range of the mini-stopband, which is important for TW-like FP cavity-based filters [36]. According to the experimental parameters in Fig. 4(e), the reflection and transmission in the reflector are shown by three-dimensional finite-difference time-domain simulations. As shown, the range from 1505 nm to 1572 nm covers the mini stopband of Fig. 4(e).

 figure: Fig. 4.

Fig. 4. Characterization of TW-like FP cavity-based ADFs with Fano resonance with different widths of bus waveguides (Wbus). The measured response spectra when Wbus is (a) 360 nm, (b) 390 nm, (c) 420 nm, and (d) 450 nm, respectively. (e) The measured transmission spectra when L is 100 µm and the reflection and transmission in the reflector by three-dimensional finite-difference time-domain simulations. Sim. and exp. denote the simulation and experiment, respectively.

Download Full Size | PDF

Table 2 summarizes the performance of the reported cavity-based optical filters with a Fano line shape. The structure of the compact-size single nanobeam cavity proposed in [18,49] enables a low extinction ratio and a high insertion loss in the cavity. The structure of the large-size microdisk resonator in [50] enables a low extinction ratio and a small slope rate. The structure of the MRRs proposed in [34,35,51] gives MRRs-based devices large slope rates. However, adding an additional phase shifter using Fano resonances usually requires that additional structures be applied to MRRs. Thus, using a TW-like FP cavity-based filter produces a much smaller size and lower insertion loss. In addition, compared to the device we proposed in [13], the TW-like FP cavity-based filter used here showed lower insertion loss because of the lower waveguide loss. The TW-like FP cavity-based filter with a Fano line shape showed a high extinction ratio, large slope rate, and low insertion loss.

Tables Icon

Table 2. Performance comparison of cavity-based filters with Fano resonance on silicona

4. TW-like FP cavity-based high-order optical filters

Here, the proposed TW-like FP cavity is first applied to high-order optical filters with a broad bandwidth and small size. The space gap between multimodal waveguides of two identical reflectors can control the coupling efficiency [5254]. As an example, a second-order optical filter based on TW-like FP cavities was proposed and demonstrated, and the size of the coupled cavity was shown to be 41 µm × 2.5 µm. Figure 5(a) shows the device consists of two identical series-coupled TW-like FP cavities and two bus waveguides. The spacing gaps (gap0, gap1, and gap2) were optimized to obtain a broad bandwidth; in this case, gap0 = gap2 = 120 nm. The parameters k0, k1, and k2 were the coupling coefficients between waveguides/cavity and cavity [52,55]. Figure 5(b) illustrates the Hz field distribution in this device at a resonant wavelength. The optical field, localized in two TW-like FP cavities, can be found in the hybridization of optical modes. Assuming the coupling power was obtained between two adjacent waveguides, we could obtain theoretical calculations of the output transmission for second-order optical filters [52]. The transmission of the ADF based on a single TW-like FP cavity is shown in Fig. 5(c). There were three resonances, from 1530 nm to 1570 nm, and the FSR was 17.77 nm.

 figure: Fig. 5.

Fig. 5. (a) SEM image (false-color) of the second-order filter based on two coupled TW-like FP cavities. (b) Hz field distribution in the x–y plane of the coupled cavities. (c) The transmission spectra of an ADF based on a single FP cavity. Transmission spectra when (d) gap1 is 500 nm and (e) gap1 is 550 nm. (f) Theoretical calculations agree well with experimental data (solid curves) when gap1 was 550 nm. Here, BW1dB and BW10dB mean 1 dB bandwidth and 10 dB bandwidth of transmission spectra, respectively.

Download Full Size | PDF

The second-order optical filter showed a box-like line shape when gap1 was 500 nm, as seen in Fig. 5(d). The drop efficiency of the passband increased with the wavelength, since the coupling efficiencies increased with the wavelength. The extracted coupling coefficient k12 was 0.19 when gap1 = 500 nm around the wavelength of 1550 nm. The out-of-band rejection ratio was larger than 24 dB, and the 1-dB flat-top passband and ripples in the passband of the drop port were 2.55 nm and 0.8 dB at 1550 nm, respectively. The 1-dB flat top was also up to 2.23 dB at 1570 nm. When gap1 was chosen as 550 nm in Fig. 5(e), the 1-dB flat-top passband decreased to 1.6 nm around the wavelength of 1550 nm because of the larger spacing gap and smaller coupling efficiencies, and the ripples were less than 0.71 dB. Here, the extracted coupling efficiencies were k02 = k22 = 0.56. Figure 5(f) shows that the theoretical and measured results were in good agreement when gap1 was 550 nm. These second-order optical filters based on TW-like FP cavities display a simple design and easy scalability.

5. Conclusion

In summary, we have experimentally demonstrated TW-like FP resonator-based photonic devices, including ADFs with Fano and Lorentzian resonances and second-order filters. For the case with the symmetric line shape of Lorentzian resonance, the structure of a 1 µm shift between two bus waveguides allowed the power transfer to be limited to between two adjacent waveguides. Since the measured results of the silicon TW-like FP cavity-based ADFs with tapered holes and without tapered holes were not similar, tapered holes thus play a significant role in directional coupling and mode conversion in the FP cavity. For the case with an asymmetric line shape, Fano resonance was introduced because of an additional phase from two outer bus waveguides. The corresponding experimental results also showed that the slope rate was 73 dB/nm. Furthermore, compact second-order coupled filters were designed and fabricated with excellent performance. These TW-like FP resonator-based devices can be further extended for high-order coupled cavity-based filters (e.g., fifth-order filters). Owing to the widely required applications of devices with excellent performance, the proposed TW-like FP cavity-based devices provide a promising solution for many photonic devices.

Funding

National Key Research and Development Program of China (No. 2019YFB2203101); National Natural Science Foundation of China (No. 62175078, No. 61775094, No. 61675084).

Acknowledgments

The authors are grateful to the Center of Micro-Fabrication and Characterization (CMFC) of Wuhan National Laboratory for Optoelectronics (WNLO) for the support in fabrication.

Disclosures

The authors declare no conflicts of interest.

Data availability

Data underlying the results presented in this paper are not publicly available at this time, but may be obtained from the authors upon reasonable request.

Supplemental document

See Supplement 1 for supporting content.

References

1. N. Daldosso and L. Pavesi, “Nanosilicon photonics,” Laser Photonics Rev. 3(6), 508–534 (2009). [CrossRef]  

2. W. Bogaerts, P. De Heyn, T. Van Vaerenbergh, K. De Vos, S. Kumar Selvaraja, T. Claes, and R. Baets, “Silicon microring resonator,” Laser Photonics Rev. 6(1), 47–73 (2012). [CrossRef]  

3. M. Asghari and A. V. Krishnamoorthy, “Silicon photonics: Energy-efficient communication,” Nat. Photonics 5(5), 268–270 (2011). [CrossRef]  

4. H. Du, W. Zhang, W. Cao, D. J. Thomson, C. G. Littlejohns, X. Yan, and G. T. Reed, “A Si optical modulator based on Fano-like resonance,” IEEE Photonics Technol. Lett. 33(21), 1209–1212 (2021). [CrossRef]  

5. L. Fang, L. Gu, J. Zheng, Q. Zhao, X. Gan, and J. Zhao, “Controlling resonance lineshapes of a side-coupled waveguide-microring resonator,” J. Lightwave Technol. 38(16), 4429–4434 (2020). [CrossRef]  

6. J. Chen, F. Gan, Y. Wang, and G. Li, “Plasmonic sensing and modulation based on Fano resonances,” Adv. Opt. Mater. 6(9), 1701152 (2018). [CrossRef]  

7. W. S. Shanhui Fan and J. D. Joannopoulos, “Temporal coupled-mode theory for the Fano resonance in optical resonators,” J. Opt. Soc. Am. A 20(3), 569–572 (2003). [CrossRef]  

8. Y. Yu, M. Heuck, H. Hu, W. Xue, C. Peucheret, Y. Chen, and J. Mørk, “Fano resonance control in a photonic crystal structure and its application to ultrafast switching,” Appl. Phys. Lett. 105(6), 061117 (2014). [CrossRef]  

9. S. L. Chua, Y. Chong, A. D. Stone, M. Soljačić, and J. Bravo-Abad, “Low-threshold lasing action in photonic crystal slabs enabled by Fano resonances,” Opt. Express 19(2), 1539–1562 (2011). [CrossRef]  

10. Y. Shuai, D. Zhao, Z. Tian, J. H. Seo, D. V. Plant, Z. Ma, and W. Zhou, “Double-layer Fano resonance photonic crystal filters,” Opt. Express 21(21), 24582–24589 (2013). [CrossRef]  

11. L. Zhou and A. W. Poon, “Fano resonance-based electrically reconfigurable add-drop filters in silicon microring resonator-coupled Mach-Zehnder interferometers,” Opt. Lett. 32(7), 781–783 (2007). [CrossRef]  

12. C. V. Poulton, X. Zeng, M. T. Wade, and M. A. Popović, “Channel add-drop filter based on dual photonic crystal cavities in push-pull mode,” Opt. Lett. 40(18), 4206–4209 (2015). [CrossRef]  

13. Q. Liu, Q. Huang, and J. Xia, “Experimental Demonstration of Single Fabry-Perot Resonator Based Optical Add-Drop Filters in Silicon,” in 2018 Conference on Lasers and Electro-Optics Pacific Rim, 2018, 1–9.

14. D. Yang, C. Wang, and Y. Ji, “Silicon on-chip 1D photonic crystal nanobeam bandstop filters for the parallel multiplexing of ultra-compact integrated sensor array,” Opt. Express 24(15), 16267–16279 (2016). [CrossRef]  

15. S. Matsuo and T. Segawa, “Microring-resonator-based widely tunable lasers,” IEEE J. Sel. Top. Quantum Electron. 15(3), 545–554 (2009). [CrossRef]  

16. H. Altug, D. Englund, and J. Vučković, “Ultrafast photonic crystal nanocavity laser,” Nat. Phys. 2(7), 484–488 (2006). [CrossRef]  

17. K. Nozaki, T. Tanabe, A. Shinya, S. Matsuo, T. Sato, H. Taniyama, and M. Notomi, “Sub-femtojoule all-optical switching using a photonic-crystal nanocavity,” Nat. Photonics 4(7), 477–483 (2010). [CrossRef]  

18. G. Dong, Y. Wang, and X. Zhang, “High-contrast and low-power all-optical switch using Fano resonance based on a silicon nanobeam cavity,” Opt. Lett. 43(24), 5977–5980 (2018). [CrossRef]  

19. T. Pan, C. Qiu, J. Wu, X. Jiang, B. Liu, Y. Yang, and Y. Su, “Analysis of an electro-optic modulator based on a graphene-silicon hybrid 1D photonic crystal nanobeam cavity,” Opt. Express 23(18), 23357–23364 (2015). [CrossRef]  

20. C. Manolatou, M.J. Khan, S. Fan, P.R. Villeneuve, H.A. Haus, and J. D. Joannopoulos, “Coupling of modes analysis of resonant channel add-drop filters,” IEEE J. Quantum Electron. 35(9), 1322–1331 (1999). [CrossRef]  

21. X. Ge, Yaocheng Shi, and S. He, “Ultra-compact channel drop filter based on photonic crystal nanobeam cavities utilizing a resonant tunneling effect,” Opt. Lett. 39(24), 6973–6976 (2014). [CrossRef]  

22. S. Fan, P. R. Villeneuve, J. D. Joannopoulos, and H. A. Haus, “Channel Drop Tunneling through Localized States,” Phys. Rev. Lett. 80(5), 960–963 (1998). [CrossRef]  

23. S. Fan, P. R. Villeneuve, J. D. Joannopoulos, and H. A. Haus, “Channel drop filters in photonic crystals,” Opt. Express 3(1), 4–11 (1998). [CrossRef]  

24. H. Zhou, C. Qiu, X. Jiang, Q. Zhu, Y. He, Y. Zhang, and R. Soref, “Compact submilliwatt 2× 2 silicon thermo-optic switch based on photonic crystal nanobeam cavities,” Photonics Res. 5(2), 108–112 (2017). [CrossRef]  

25. H. Takano, B. S. Song, T. Asano, and S. Noda, “Highly efficient in-plane channel drop filter in a two-dimensional heterophotonic crystal,” Appl. Phys. Lett. 86(24), 241101 (2005). [CrossRef]  

26. Z. Zhang and M. Qiu, “Compact in-plane channel drop filter design using a single cavity with two degenerate modes in 2D photonic crystal slabs,” Opt. Express 13(7), 2596–2604 (2005). [CrossRef]  

27. Y Zhuang, H Chen, and J.K, “Cascaded chirped narrow bandpass filter with flat-top based on two-dimensional photonic crystals,” Appl. Opt. 56(14), 4185–4190 (2017). [CrossRef]  

28. L. H. Frandsen, Y. Elesin, X. Guan, O. Sigmund, and K. Yvind, “Flat-top drop filter based on a single topology optimized photonic crystal cavity,” in Conference on Lasers and Electro-Optics, 2015, 1–2.

29. Y. Akahane, T. Asano, H. Takano, B. S. Song, Y. Takana, and S. Noda, “Two-dimensional photonic-crystal-slab channel-drop filter with flat-top response,” Opt. Express 13(7), 2512–2530 (2005). [CrossRef]  

30. A. Yalcin, K. C. Popat, J. C. Aldridge, T. A. Desai, J. Hryniewicz, N. Chbouki, and B. B. Goldberg, “Optical sensing of biomolecules using microring resonators,” IEEE J. Select. Topics Quantum Electron. 12(1), 148–155 (2006). [CrossRef]  

31. Chao Li, Linjie Zhou, and A. W. Poon, “Silicon microring carrier-injection-based modulators switches with tunable extinction ratios and OR logic switching by using waveguide cross coupling,” Opt. Express 15(8), 5069–5076 (2007). [CrossRef]  

32. X. Fang and L. Yang, “Thermal effect analysis of silicon microring optical switch for on-chip interconnect,” J. Semicond. 38(10), 104004 (2017). [CrossRef]  

33. P Chen, S Chen, X Guan, Y Shi, and D. Dai, “High-order microring resonators with bent couplers for a box-like filter response,” Opt. Lett. 39(21), 6304–6307 (2014). [CrossRef]  

34. S. Zheng, Z. Ruan, S. Gao, Y. Long, S. Li, M. He, and J. Wang, “Compact tunable electromagnetically induced transparency and Fano resonance on silicon platform,” Opt. Express 25(21), 25655–25662 (2017). [CrossRef]  

35. W. Zhang, W. Li, and J. Yao, “Optically tunable Fano resonance in a grating-based Fabry–Perot cavity-coupled microring resonator on a silicon chip,” Opt. Lett. 41(11), 2474–2477 (2016). [CrossRef]  

36. Q. Huang, Q. Liu, and J. Xia, “Traveling wave-like Fabry-Perot resonator-based add-drop filters,” Opt. Lett. 42(24), 5158–5161 (2017). [CrossRef]  

37. R. Soref, F. De Leonardis, and V. M. Passaro, “Compact resonant 2 × 2 crossbar switch using three coupled waveguides with a central nanobeam,” Opt. Express 29(6), 8751–8762 (2021). [CrossRef]  

38. M. Mendez-Astudillo, H. Okayama, and T. Kita, “Fabry-Perot Cavity Using Two Row Photonic Crystal in a Multimode Waveguide,” in Conference on Lasers and Electro-Optics, 2019), STh1H-6.

39. Y. Zhao, Y. Shi, X. Xiong, L. Hao, S. Liu, R. Xiao, P. Dai, J. Lu, T. Fang, and X. Chen, “Single wavelength resonator based on pi phase-shifted antisymmetric Bragg grating,” IEEE Photonics Technol. Lett. 31(16), 1339–1342 (2019). [CrossRef]  

40. P. Yu, H. Qiu, R. Cheng, L. Chrostowski, and J. Yang, “High-Q antisymmetric multimode nanobeam photonic crystal cavities in silicon waveguides,” Opt. Express 26(20), 26196–26204 (2018). [CrossRef]  

41. C. M. Kim and Y. J. Im, “Switching operations of three-waveguide optical switches,” IEEE J. Sel. Top. Quantum Electron. 6(1), 170–174 (2000). [CrossRef]  

42. B. E. Little, S. T. Chu, H. A. Haus, J. A. F. J. Foresi, and J. P. Laine, “Microring resonator channel dropping filters,” J. Lightwave Technol. 15(6), 998–1005 (1997). [CrossRef]  

43. B. E. Little, S. T. Chu, and H. A. Haus, “Second-order filtering and sensing with partially coupled traveling waves in a single resonator,” Opt. Lett. 23(20), 1570–1572 (1998). [CrossRef]  

44. M. W. Pruessner, J. B. Khurgin, T. H. Stievater, W. S. Rabinovich, R. Bass, J. B. Boos, and V. J. Urick, “Demonstration of a mode-conversion cavity add–drop filter,” Opt. Lett. 36(12), 2230–2232 (2011). [CrossRef]  

45. S. Xiao, M. H. Khan, H. Shen, and M. Qi, “Compact silicon microring resonators with ultra-low propagation loss in the C band,” Opt. Express 15(22), 14467–14475 (2007). [CrossRef]  

46. P. Dumon, W. Bogaerts, V. Wiaux, J. Wouters, S. Beckx, J. Van Campenhout, and R. Baets, “Low-loss SOI photonic wires and ring resonators fabricated with deep UV lithography,” IEEE Photonics Technol. Lett. 16(5), 1328–1330 (2004). [CrossRef]  

47. A. Li and W. Bogaerts, “An actively controlled silicon ring resonator with a fully tunable Fano resonance,” APL Photonics 2(9), 096101 (2017). [CrossRef]  

48. H. Du, W. Zhang, C. G. Littlejohns, S. Stankovic, X. Yan, D. T. Tran, and G. T. Reed, “Ultra-sharp asymmetric Fano-like resonance spectrum on Si photonic platform,” Opt. Express 27(5), 7365–7372 (2019). [CrossRef]  

49. P. Yu, T. Hu, H. Qiu, F. Ge, H. Yu, X. Jiang, and J. Yang, “Fano resonances in ultracompact waveguide Fabry-Perot resonator side-coupled lossy nanobeam cavities,” Appl. Phys. Lett. 103(9), 091104 (2013). [CrossRef]  

50. W. Zhang and J. Yao, “Thermally tunable ultracompact Fano resonator on a silicon photonic chip,” Opt. Lett. 43(21), 5415–5418 (2018). [CrossRef]  

51. G. Wang, T. Dai, J. Jiang, H. Yu, Y. Hao, Y. Wang, and J. Yang, “Slope tunable Fano resonances in asymmetric embedded microring resonators,” J. Opt. 19(2), 025803 (2017). [CrossRef]  

52. C. S. Ma, Y. Z. Xu, X. Yan, Z. K. Qin, and X. Y. Wang, “Optimization and analysis of series-coupled microring resonator arrays,” Opt. Commun. 262(1), 41–46 (2006). [CrossRef]  

53. Q. Li, M. Soltani, S. Yegnanarayanan, and A. Adibi, “Design and demonstration of compact, wide bandwidth coupled-resonator filters on a silicon-on-insulator platform,” Opt. Express 17(4), 2247–2254 (2009). [CrossRef]  

54. D. Liu, L. Zhang, Y. Tan, and D. Dai, “High-order adiabatic elliptical-microring filter with an ultra-large free-spectral-range,” J. Lightwave Technol. 39(18), 5910–5916 (2021). [CrossRef]  

55. F. Xia, L. Sekaric, O Boyle, and Y. M. Vlasov, “Coupled resonator optical waveguides based on silicon-on-insulator photonic wires,” Appl. Phys. Lett. 89(4), 04112 (2006). [CrossRef]  

Supplementary Material (1)

NameDescription
Supplement 1       Supplementary Material

Data availability

Data underlying the results presented in this paper are not publicly available at this time, but may be obtained from the authors upon reasonable request.

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (5)

Fig. 1.
Fig. 1. Configuration of a traveling wave-like Fabry–Perot (TW-like FP) resonator. Top view of the cavity with Bragg grating reflectors (a) when there is no shift (Ls = 0) between two outer bus waveguides and (b) when there is a shift (Ls) between the two outer waveguides. (c) The structure of the FP cavity with tapered holes, uniform holes, and multimodal waveguide L. (d) Mode distributions in the bus waveguide and cavity.
Fig. 2.
Fig. 2. (a) Top view of the Bragg grating reflector. Hz field distributions in the Bragg grating reflector with inputting (b) TE0 mode, (c) TE1 mode, and (d) TE2 mode.
Fig. 3.
Fig. 3. Characterization of TW-like FP cavity-based filters with Lorentzian resonance. SEM images (false color) of the fabricated ADF (a) and details of the structure (b). (c) Tapered holes in Bragg grating reflectors and cross-sectional view of tapered and uniform holes. The hole diameters (d1d6) are 40 nm, 60 nm, 80 nm, 100 nm, 120 nm, and 140 nm, respectively. (d) Experimental transmission spectra without tapered holes when L is 30 µm, and € with tapered holes when L is 3.5 µm. (f) The transmission spectra of two all-pass filters with L = 10 µm and 30 µm around the wavelength of 1550 nm. Here, circles in the insets of Figs. (d)–(f) represent the experimental results, and solid lines are the fitting curves.
Fig. 4.
Fig. 4. Characterization of TW-like FP cavity-based ADFs with Fano resonance with different widths of bus waveguides (Wbus). The measured response spectra when Wbus is (a) 360 nm, (b) 390 nm, (c) 420 nm, and (d) 450 nm, respectively. (e) The measured transmission spectra when L is 100 µm and the reflection and transmission in the reflector by three-dimensional finite-difference time-domain simulations. Sim. and exp. denote the simulation and experiment, respectively.
Fig. 5.
Fig. 5. (a) SEM image (false-color) of the second-order filter based on two coupled TW-like FP cavities. (b) Hz field distribution in the x–y plane of the coupled cavities. (c) The transmission spectra of an ADF based on a single FP cavity. Transmission spectra when (d) gap1 is 500 nm and (e) gap1 is 550 nm. (f) Theoretical calculations agree well with experimental data (solid curves) when gap1 was 550 nm. Here, BW1dB and BW10dB mean 1 dB bandwidth and 10 dB bandwidth of transmission spectra, respectively.

Tables (2)

Tables Icon

Table 1. Performance comparison of cavity-based filters with Lorentzian resonancea

Tables Icon

Table 2. Performance comparison of cavity-based filters with Fano resonance on silicona

Equations (6)

Equations on this page are rendered with MathJax. Learn more.

[ b 0 b 1 b 2 ] = [ t 0 e j θ t j k  -  k c e j θ c j k t 1 e j θ m j k k c e j θ c j k t 0 e j θ t ] [ a 0 a 1 a 2 ]
t 0 2 + k 2 + k c 2 = 1 k 2 + t 1 2 + k 2 1 k c 2 + k 2 + t 0 2 = 1
S t = t 0 e j θ t k 2 α e j θ 1 1 α t 1 e j ( θ 1 + θ m )
S d = k c e j θ c k 2 α e j θ 1 1 α t 1 e j ( θ 1 + θ m )
S t = t 0 k 2 α e j θ 1 1 α t 1 e j θ 1
S d = k 2 α e j θ 1 1 α t 1 e j θ 1
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.