Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Demonstration of 12 nm Resolution Fresnel Zone Plate Lens based Soft X-ray Microscopy

Open Access Open Access

Abstract

To extend soft x-ray microscopy to a resolution of order 10 nm or better, we developed a new nanofabrication process for Fresnel zone plate lenses. The new process, based on the double patterning technique, has enabled us to fabricate high quality gold zone plates with 12 nm outer zones. Testing of the zone plate with the full-field transmission x-ray microscope, XM-1, in Berkeley, showed that the lens clearly resolved 12 nm lines and spaces. This result represents a significant step towards 10 nm resolution and beyond.

©2009 Optical Society of America

1. Introduction

Recent advances in nanotechnology and science have highlighted the need for analytic tools that provide elemental and chemical information with large penetration depths, on a spatial resolution of order 10 nm or better. Currently, X-ray analytical imaging techniques such as zone plate based microscopy [13], holography [4,5], and “lensless” imaging [69] and combinations such as ptychography [10] are under development to offer these capabilities. One instance of zone plate based microscopy with a large and diverse user community is the soft x-ray full-field microscope, XM-1, at the Advanced Light Source Synchrotron. The microscope covers a spectral range from 250 eV photon energy (~5 nm wavelength) to 1.8 keV (~0.7nm), encompassing primary K and L atomic resonance of many low-Z elements and transition metals such as C, N, O, Ti, Fe, Co, Ni. With a penetration depth from a few tens of nm to a few μm, the XM-1 microscope is suitable for biological imaging in the water window [11,12], magnetic nanostructures with both elemental and spin-orbit sensitivity [1315], and studies of wet humic samples [16,17] and cement composites [18,19]. The XM-1 microscope utilizes the bending magnet radiation from a synchrotron, focused by a large condenser zone plate onto the sample (Fig. 1 ). The “micro” zone plate (MZP) re-focuses the sample at high magnification to form full-field images on a back-thinned, back-illuminated 2048x2048 pixel array CCD camera. The condenser zone plate (CZP), with a central stop, not only provides hollow-cone illumination for imaging, but also spectrally filters the illumination using a pinhole, achieving the desired partial coherence and a monochromicity, λ/(Δλ), of about 500 over a typical 10-µm-diameter field of view. Both zone plates were fabricated in-house, with electron beam lithography [20].

 figure: Fig. 1

Fig. 1 A schematic of the soft x-ray microscope, XM-1, at the Advanced Light Source in Berkeley. The microscope uses a micro zone plate to project a full field image of the sample onto a soft x-ray sensitive CCD camera. A condenser zone plate provides partially coherent, hollow-cone illumination at the sample. A central stop on the condenser and a pinhole provide monochromatization.

Download Full Size | PDF

The spatial resolution of a zone plate based microscope depends on both the illumination, the numerical aperture of the micro zone plate, and the object. It is equal to k1λ/NAMZP, where λ is the wavelength, NAMZP is the numerical aperture of the micro zone plate (MZP) on the object side, and k 1 is an illumination and object dependent constant, which ranges from 0.29 to 0.5 for equal line and space objects [21]. For a zone plate lens used at high magnification and ignoring the spherical aberration correction term, NAMZP is equal to λ/(2ΔrMZP) [22], where Δr MZP is the outermost (smallest) zone width of the MZP. For the partially coherent illumination [23,24] utilized here, k 1≅0.4 and thus the theoretical resolution is 0.8Δr, as calculated using the SPLAT computer program, a 2-D scalar diffraction code which evaluates partially coherent imaging [25]. The spatial resolution achieved to date has been limited by the ability to fabricate very small zone width structures with the required placement accuracy.

Here we report a significant milestone in the diffractive optical performance of Fresnel zone plate lens based imaging to a resolution of 12 nm. This is based on a new variation of the double patterning electron beam lithography technique for zone plate fabrication, which removes some of the limitations imposed on dense patterns from short-range scattering of electrons and other fabrication issues.

2. New double patterning nanofabrication technique for 12 nm zone plates

In conventional zone plate fabrication, a zone plate pattern of dense circular rings is exposed by electron beam lithography in a single step, which after development and further pattern transfer steps, is then electroplated with metals such as gold or nickel. Electron beam broadening, finite beam size, and other factors limit fabrication of narrow, dense zones. Since fabricating only semi-isolated features reduces these limiting effects, we previously developed an overlay “double patterning” fabrication technique [2] in which a dense zone plate pattern was divided into two less dense, complementary sub-patterns. These two sub-patterns were sequentially fabricated and overlaid with one another with high accuracy, producing the desired pattern (Fig. 2 ). Using a fabrication process based on this technique, we have successfully realized zone plates with 15 nm outer zones (ΔrMZP = 15 nm) and achieved clear imaging of lines and spaces with a 15 nm half period.

 figure: Fig. 2

Fig. 2 An illustration of the double patterning nanofabrication technique for micro zone plate fabrication. The zone plate (right) is divided into two less dense, complementary sub-patterns of alternating (black) rings, which when combined create the desired pattern. The two sub-patterns are exposed and fabricated sequentially to form the desired overlaid micro zone plate.

Download Full Size | PDF

In spite of significant efforts, this double patterning fabrication process did not scale down reliably. As a result, we developed a new variation with higher patterning fidelity to fabricate zone plates with 12 nm outer zone widths. The new process uses hydrogen silsesquioxane (HSQ) as the recording medium (resist), which after exposure becomes insoluble in base developers. After the first sub-pattern is exposed onto a silicon nitride membrane, the pattern is developed and inspected (Fig. 3(a) ). The next step is to give the field a large blanket electron dose to halt further pattern development. Next, short “buttresses” are made in the outer zone region to provide mechanical support for the narrow zones in subsequent steps. To complete the double patterning, fresh HSQ is reapplied, and the complementary pattern is exposed and developed on the same substrate (Fig. 3(b)). The two complementary patterns are then electroplated into the desired zone plate (Fig. 3(c)), which improves yield over the two separate plating steps in the previous double patterning process [26].

 figure: Fig. 3

Fig. 3 A simplified illustration of the new HSQ double patterning zone plate fabrication process. (a) Fresh HSQ resist is spin coated on a silicon nitride substrate covered with a thin layer of gold plating base. The electron beam deflection is then calibrated to the outer set of alignment marks prefabricated outside the desired zone plate area. The first sub-pattern is exposed to the resist, which is then developed and inspected. (b) After blanket exposure and buttress fabrication, fresh HSQ is reapplied, and the same process steps for fabricating the first sub-pattern are used to fabricate the complementary pattern. (c) Using the resist structure as a mold, the desired zone plate structure is formed by electroplating with gold and subsequent resist strip.

Download Full Size | PDF

Accurate pattern alignment is critical to successful fabrication using this new process. Specifically, for diffraction limited zone plate performance, alignment error must not exceed one-third of the smallest zone width [27]. Using an in-house alignment algorithm [28], we calibrated the electron beam deflection to the pre-fabricated alignment marks on the resist-coated wafer before each sub-pattern exposure. This technique greatly reduced systematic alignment errors, allowing us to consistently achieve a sub-pixel (1.75 nm) overlay accuracy. In Fig. 4 , a scanning electron micrograph shows the outer zone region of a 12 nm zone plate fabricated using this process, revealing near but not perfect alignment of the opaque zones.

 figure: Fig. 4

Fig. 4 A scanning electron micrograph of a zone plate with 12 nm outermost zone, fabricated in-house using the new double-patterning process. Shown in the inset is a more detailed view of the outermost zones. The overlay accuracy is measured to be 1.6 nm. The breaks in the gold zones are caused by the buttresses pseudo-randomly placed in the outer zone region for improving the mechanical stability of the resist structure during the fabrication process

Download Full Size | PDF

The overlay accuracy was measured as 1.6 nm ± 0.6 nm. The gold plated zones are 30 nm thick, giving an aspect ratio of 2.5:1. The calculated diffraction efficiency to first order is 1%, which is then multiplied by the transmission of the substrate and plating base (63%), giving an expected total efficiency of 0.6%.

3. Imaging experiment and results at the XM-1 microscope

The focal length of a zone plate scales quadratically with its resolution when the number of zones must be kept constant due to the finite bandwidth of the illumination [22]. The 12 nm zone plate designed for the XM-1 microscope has a focal length of 164 μm at λ = 1.75 nm (iron edge), a typical wavelength of nanomagnetism studies [29]. As a consequence, the focal length was too short to mechanically fit into the standard microscope configuration. In a new configuration used here, the test sample was placed on an independent stage upstream of the MZP stage, which had three axes of motion and modest travel (8 mm, and encoder feedback resolution of about 50 nm). The zone plate was placed on the MZP stage, which also has three axes of motion, of about 25 nm resolution. Both test sample and zone plate were in air, which may affect the imaging result as discussed later. This configuration, illustrated in Fig. 5 , allowed testing at the short focal length of the 12 nm zone plates across the range of wavelengths available to the microscope.

 figure: Fig. 5

Fig. 5 Imaging setup of the test object and the 12 nm zone plate at the XM-1 microscope. To accommodate the lens’ short working distance, the zone plate is placed on the nominal sample plane, while the test object is mounted on an auxiliary stage upstream of the zone plate. Both the zone plate and test object are in air.

Download Full Size | PDF

Using the 12nm zone plate in this setup, we imaged a variety of patterns including star patterns, pseudo random arrays, and periodic gratings. Figure 6(a) shows an x-ray image of a gold star pattern obtained at 1.75 nm wavelength. Defects in the pattern can be seen clearly in the image. The zone plate performance can be better appreciated when the image is compared to the scanning transmission electron micrograph of the same test pattern, which is shown in

 figure: Fig. 6

Fig. 6 (a) An x-ray image of a gold star pattern obtained with a 12 nm micro zone plate at 1.75 nm wavelength (707eV). (b) A scanning transmission electron micrograph of the identical star pattern. (c) Two different areas of the x-ray image and the electron micrograph shown side by side for comparison. Defects revealed in the micrograph can be seen clearly in the x-ray image.

Download Full Size | PDF

Figure 6(b). Numerous defects revealed by the electron micrograph were reproduced in high fidelity by the new zone plate (Fig. 6(c)).

To quantitatively measure the resolution, we imaged grating test objects of known periods with the 12 nm zone plate. These test objects were made of 100 nm thick Mo/Si multilayer in cross section, fabricated in-house using magnetron sputtering and commercially available TEM in situ lift out technique [30,31]. To obtain low coherence of illumination, a high NA condenser of 30 nm outer zones was used in this testing. The condenser has a gold thickness of 60 nm, giving an expected total efficiency of 2.3%. The optical configuration is shown in Fig. 7 . The degree of partial coherence [21,23,24], σ, is 0.4, with a central obstruction of 0.28.

 figure: Fig. 7

Fig. 7 A schematic of the optical configuration used for the zone plate testing.

Download Full Size | PDF

The images of 10 nm, 12 nm, 15 nm and 20 nm half-period Mo/Si test objects, obtained at 1.75 nm wavelength ( = 707 eV) with a magnification of 10600, are shown in Fig. 8 . The exposure time was 1.5 minutes for the 10 nm, 15 nm and 20 nm half-period test objectimages, and 1.7 minutes for the 12 nm half-period test object image. The gratings with half periods down to 12 nm are clearly resolved. Due to the difficulty of aligning the optics, stray scattered light was observed in the images and reduced the image quality. The effect is most evident in the 15 nm half-period grating image. For these images, image modulation is estimated by averaging the measured intensity along the lines, followed by fitting a smooth function to the resulting one dimensional intensity profile to correct for the non-uniform illumination in the horizontal direction. Normalized modulation of 0%, 17% ± 7%, 24% ± 5%, and 39% ± 5% were obtained for the 10 nm, 12 nm, 15 nm and 20 nm half-period grating images, respectively. Figure 9 shows the modulation plotted as a function of the grating spatial frequency. The theoretical modulation curve for a 100 nm thick Mo/Si test object at 1.75 nm wavelength was calculated using the SPLAT program [25] for the partially coherent, hollow-cone illumination employed in the experiment, and is included in Fig. 9. Because the calculation of the modulation curve uses the actual absorption of molybdenum and transmission of silicon at the wavelength used, the theoretical modulation values do not reach unity at large grating periods. As seen in the figure, while the calculation predicts some modulation slightly beyond 10 nm half-period, the images obtained show a gradual decrease in modulation with smaller grating periods. At 10 nm half-period, the measured modulation reaches zero. Compared to theory, the modulations observed in the studies were approximately 38%, 42%, and 56% of what would be expected at the 12 nm, 15 nm, and 20 nm half-periods.

 figure: Fig. 8

Fig. 8 Soft x-ray images of Mo/Si test patterns obtained with a 12 nm micro zone plate at 1.75 nm wavelength (707eV). The patterns have half-periods of (a) 20 nm, (b) 15 nm, (c) 12 nm, and (d) 10 nm. The lines and spaces can be clearly seen down to 12 nm half-period. Pixel sizes of 3.7 nm and 2.4 nm were used when taking the images (a) and (b), (c) and (d), respectively.

Download Full Size | PDF

 figure: Fig. 9

Fig. 9 The calculated and measured modulations for different periods of Mo/Si test objects imaged with a 12 nm zone plate at the XM-1 microscope. The normalized experimental modulations of 39% ± 5%, 24% ± 5%, 17% ± 7%, and 0% at the half-periods of 20 nm, 15 nm, 12 nm and 10 nm, respectively, are plotted in the graph. The calculation accounts for the optical configurations of the experiment here as well as the characteristics of the test sample used. In comparison to theory, the experimental modulations at the 12 nm, 15 nm, and 20 nm half-periods are 38%, 42%, and 56% of the theoretical values.

Download Full Size | PDF

Several factors can compromise the obtainable image quality with the imaging setup used here. In this experiment, the depth of focus (DOF) is ± 165 nm. A steady object-to-zone-plate distance within the DOF is needed. In our current setup, where both the test object and the lens are placed in air (Fig. 5) and the lens is on a 100 nm thick silicon nitride membrane window, air turbulence can reduce focusing stability. In addition, vibration of less than 4 nm is requisite for preserving the ultimate resolution of the system. With the presence of numerous potential vibration sources, such a small vibration tolerance might not be satisfied during the imaging experiment. Furthermore, due to high imaging magnification, the field of view is no more than 2.5 μm. The limited/small field of view, combined with modest motor control of the illumination and unstable illumination position on the sample, makes precise alignment of the optics rather challenging. Stray light in the image, as seen in Fig. 8, is likely caused by misalignment. Aberrations caused by modest lens mounting accuracy, unwanted wavelengths in the peripheral of the condenser illumination, and zone plate fabrication imperfections, can be amplified by slight misalignment. These factors, however, can be significantly reduced using precision engineering and redesigning of the microscope configuration. Plans for upgrading the microscope are underway. We expect that better imaging performance will be achieved with the new system. One should note that the zone edge roughness, caused by the electroplating gold grains (Fig. 4), could produce flare, an undesirable background scattering, thus reducing image contrast.

4. Conclusions

With the advances in our double patterning fabrication process, zone plates of 12 nm outer zones were successfully fabricated. Resolution of 12 nm was demonstrated using the zone plate. This result will enable studies of quantitative biology of intact cells’ substructures, static and dynamic magnetization behaviors of single domains and self-assembled nanoparticles, particularly in high-density magnetic storage devices, as well as other nano-scale studies in a myriad of scientific and technological fields. With improved experimental setup and fabrication processes, we anticipate further resolution improvement using these zone plates and zone plates of even smaller zone widths. Soft x-ray zone plate microscopy will be a powerful technique for nanoscience and nanotechnology. With the number of x-ray microscopes increasing worldwide, the work presented here would have a significant impact to a broad range of scientific communities.

Acknowledgements

This work was supported by the Director, Office of Science, Office of Basic Energy Sciences, of the U.S. Department of Energy under Contract No. DE-AC02-05CH11231, and the Engineering Research Centers Program of the National Science Foundation under NSF Award Number EEC-0310717. We extend our gratitude to Farhad Salmassi for fabricating the multilayer coatings, to Patrick Naulleau for image analysis, and to the engineering team for providing the technical support.

References and links

1. S. Aoki, Y. Kagoshima, and Y. Suzuki, eds., The 8th International Conference on X-ray Microscopy (Institute of Pure and Applied Physics, Tokyo, 2006); and references therein.

2. W. L. Chao, B. D. Harteneck, J. A. Liddle, E. H. Anderson, and D. T. Attwood, “Soft X-ray microscopy at a spatial resolution better than 15 nm,” Nature 435(7046), 1210–1213 (2005). [CrossRef]   [PubMed]  

3. K. Jefimovs, J. Vila-Comamala, T. Pilvi, J. Raabe, M. Ritala, and C. David, “Zone-doubling technique to produce ultrahigh-resolution x-ray optics,” Phys. Rev. Lett. 99(26), 264801 (2007). [CrossRef]  

4. S. Eisebitt, J. Lüning, W. F. Schlotter, M. Lörgen, O. Hellwig, W. Eberhardt, and J. Stöhr, “Lensless imaging of magnetic nanostructures by X-ray spectro-holography,” Nature 432(7019), 885–888 (2004). [CrossRef]   [PubMed]  

5. S. Marchesini, S. Boutet, A. E. Sakdinawat, M. J. Bogan, S. Bajt, A. Barty, H. N. Chapman, M. Frank, S. P. Hau-Riege, A. Szoke, C. Cui, D. A. Shapiro, M. R. Howells, J. C. H. Spence, J. W. Shaevitz, J. Y. Lee, J. Hajdu, and M. M. Seibert, “Massively parallel X-ray holography,” Nat. Photonics 2(9), 560–563 (2008). [CrossRef]  

6. J. W. Miao, P. Charalambous, J. Kirz, and D. Sayre, “Extending the methodology of X-ray crystallography to allow imaging of micrometre-sized non-crystalline specimens,” Nature 400(6742), 342–344 (1999). [CrossRef]  

7. A. Barty, S. Boutet, M. J. Bogan, S. Hau-Riege, S. Marchesini, K. Sokolowski-Tinten, N. Stojanovic, R. Tobey, H. Ehrke, A. Cavalleri, S. Düsterer, M. Frank, S. Bajt, B. W. Woods, M. M. Seibert, J. Hajdu, R. Treusch, H. N. Chapman, and R. A. Tobey, “Ultrafast single-shot diffraction imaging of nanoscale dynamics,” Nat. Photonics 2(7), 415–419 (2008). [CrossRef]  

8. B. Abbey, G. J. Williams, M. A. Pfeifer, J. N. Clark, C. T. Putkunz, A. Torrance, I. McNulty, T. M. Levin, A. G. Peele, and K. A. Nugent, “Quantitative coherent diffractive imaging of an integrated circuit at a spatial resolution of 20 nm,” Appl. Phys. Lett. 93(21), 214101 (2008). [CrossRef]  

9. C. Song, R. Bergstrom, D. Ramunno-Johnson, H. Jiang, D. Paterson, M. D. de Jonge, I. McNulty, J. Lee, K. L. Wang, and J. Miao, “Nanoscale imaging of buried structures with elemental specificity using resonant x-ray diffraction microscopy,” Phys. Rev. Lett. 100(2), 025504 (2008). [CrossRef]   [PubMed]  

10. P. Thibault, M. Dierolf, A. Menzel, O. Bunk, C. David, and F. Pfeiffer, “High-resolution scanning x-ray diffraction microscopy,” Science 321(5887), 379–382 (2008). [CrossRef]   [PubMed]  

11. W. Meyer-Ilse, D. Hamamoto, A. Nair, S. A. Lelièvre, G. Denbeaux, L. Johnson, A. L. Pearson, D. Yager, M. A. Legros, and C. A. Larabell, “High resolution protein localization using soft X-ray microscopy,” J. Microsc. 201( 3), 395–403 (2001). [CrossRef]   [PubMed]  

12. D. Y. Parkinson, G. McDermott, L. D. Etkin, M. A. Le Gros, and C. A. Larabell, “Quantitative 3-D imaging of eukaryotic cells using soft X-ray tomography,” J. Struct. Biol. 162(3), 380–386 (2008). [CrossRef]   [PubMed]  

13. M.-Y. Im, L. Bocklage, P. Fischer, and G. Meier, “Direct observation of stochastic domain-wall depinning in magnetic nanowires,” Phys. Rev. Lett. 102(14), 147204 (2009). [CrossRef]   [PubMed]  

14. L. Bocklage, B. Krueger, R. Eiselt, M. Bolte, P. Fischer, and G. Meier, “Time-resolved imaging of current-induced domain-wall oscillations,” Phys. Rev. B 78(18), 180405 (2008). [CrossRef]  

15. S. Kasai, P. Fischer, M.-Y. Im, K. Yamada, Y. Nakatani, K. Kobayashi, H. Kohno, and T. Ono, “Real-time X-ray imaging of current-induced resonant motion of a vortex core in a ferromagnetic disk,” Phys. Rev. Lett. 101, 237203 (2008). [CrossRef]   [PubMed]  

16. S. C. B. Myneni, J. T. Brown, G. A. Martinez, and W. Meyer-Ilse, “Imaging of humic substance macromolecular structures in water and soils,” Science 286(5443), 1335–1337 (1999). [CrossRef]   [PubMed]  

17. S.-C. Gleber, J. Thieme, W. Chao, and P. Fischer “Stereo soft x-ray microscopy and elemental mapping of hematite and clay suspensions” J. Microsc. (in print). [PubMed]  

18. V. S. Harutyunyan, A. P. Kirchheim, P. J. M. Monteiro, A. P. Aivazyan, and P. Fischer, “Investigation of early growth of calcium hydroxide crystals in cement solution by soft X-ray transmission microscopy,” J. Mater. Sci. 44(4), 962–969 (2009). [CrossRef]  

19. P.J.M. Monteiro, A.P. Kirchheim, S. Chae, P. Fischer, A.A. MacDowell, E. Schaible, and H.R. Wenk “Characterizing the Nano and Micro Structure of Concrete to Improve its Durability” Cem. Concr. Compos. (2009) (in print). [CrossRef]  

20. E. H. Anderson, D. L. Olynick, B. Harteneck, E. Veklerov, G. Denbeaux, W. L. Chao, A. Lucero, L. Johnson, and D. Attwood, “Nanofabrication and diffractive optics for high-resolution x-ray applications,” J. Vac. Sci. Technol. B 18(6), 2970–2975 (2000). [CrossRef]  

21. W. Chao, “Resolution Characterization and Nanofabrication for Soft X-ray Zone Plate Microscopy,” (University of California, Berkeley, Berkeley, 2005), chapt. 3.

22. D. T. Attwood, Soft x-rays and extreme ultraviolet radiation: principles and applications, 1st ed. (Cambridge University Press, Cambridge, U.K., 2000), chapt. 9.

23. J. W. Goodman, Statistical optics, Wiley classics library ed. ed., Wiley classics library (Wiley, New York, 2000), pp 303–324.

24. M. Born, and E. Wolf, Principles of optics: electromagnetic theory of propagation, interference and diffraction of light, 7th expanded ed. (Cambridge University Press, New York, 1999), pp 441, 596–606.

25. K. K. H. Toh, and A. R. Neureuther, “Identifying and monitoring effects of lens aberrations in projection printing,” in Optical Microlithography VI., H. L. Stover, ed. (SPIE, Bellingham, Washington, 1987)

26. W. Chao, E. H. Anderson, P. Fischer, and D.-H. Kim, “Toward sub-10-nm resolution zone plates using the overlay nanofabrication processes,” in Advanced Fabrication Technologies for Micro/Nano Optics and Photonics, T. J. Suleski, W. V. Schoenfeld, and J. J. Wang, eds. (SPIE, San Jose, CA, USA, 2008), p. 688309.

27. A. G. Michette, Optical systems for soft X rays (Plenum Press, New York, 1986).

28. E. H. Anderson, D. Ha, and J. A. Liddle, “Sub-pixel alignment for direct-write electron beam lithography,” Microelectron. Eng. 73–74, 74–79 (2004). [CrossRef]  

29. P. Fischer, D.-H. Kim, W. Chao, J. A. Liddle, E. H. Anderson, and D. T. Attwood, “Soft x-ray microscopy of nanomagnetism,” Mater. Today 9(1-2), 26–33 (2006). [CrossRef]  

30. http://www.emal.engin.umich.edu/handbooks/NovaNanoLab/online-009.pdf

31. R. M. Langford and C. Clinton, “In situ lift-out using a FIB-SEM system,” Micron 35(7), 607–611 (2004). [CrossRef]   [PubMed]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (9)

Fig. 1
Fig. 1 A schematic of the soft x-ray microscope, XM-1, at the Advanced Light Source in Berkeley. The microscope uses a micro zone plate to project a full field image of the sample onto a soft x-ray sensitive CCD camera. A condenser zone plate provides partially coherent, hollow-cone illumination at the sample. A central stop on the condenser and a pinhole provide monochromatization.
Fig. 2
Fig. 2 An illustration of the double patterning nanofabrication technique for micro zone plate fabrication. The zone plate (right) is divided into two less dense, complementary sub-patterns of alternating (black) rings, which when combined create the desired pattern. The two sub-patterns are exposed and fabricated sequentially to form the desired overlaid micro zone plate.
Fig. 3
Fig. 3 A simplified illustration of the new HSQ double patterning zone plate fabrication process. (a) Fresh HSQ resist is spin coated on a silicon nitride substrate covered with a thin layer of gold plating base. The electron beam deflection is then calibrated to the outer set of alignment marks prefabricated outside the desired zone plate area. The first sub-pattern is exposed to the resist, which is then developed and inspected. (b) After blanket exposure and buttress fabrication, fresh HSQ is reapplied, and the same process steps for fabricating the first sub-pattern are used to fabricate the complementary pattern. (c) Using the resist structure as a mold, the desired zone plate structure is formed by electroplating with gold and subsequent resist strip.
Fig. 4
Fig. 4 A scanning electron micrograph of a zone plate with 12 nm outermost zone, fabricated in-house using the new double-patterning process. Shown in the inset is a more detailed view of the outermost zones. The overlay accuracy is measured to be 1.6 nm. The breaks in the gold zones are caused by the buttresses pseudo-randomly placed in the outer zone region for improving the mechanical stability of the resist structure during the fabrication process
Fig. 5
Fig. 5 Imaging setup of the test object and the 12 nm zone plate at the XM-1 microscope. To accommodate the lens’ short working distance, the zone plate is placed on the nominal sample plane, while the test object is mounted on an auxiliary stage upstream of the zone plate. Both the zone plate and test object are in air.
Fig. 6
Fig. 6 (a) An x-ray image of a gold star pattern obtained with a 12 nm micro zone plate at 1.75 nm wavelength (707eV). (b) A scanning transmission electron micrograph of the identical star pattern. (c) Two different areas of the x-ray image and the electron micrograph shown side by side for comparison. Defects revealed in the micrograph can be seen clearly in the x-ray image.
Fig. 7
Fig. 7 A schematic of the optical configuration used for the zone plate testing.
Fig. 8
Fig. 8 Soft x-ray images of Mo/Si test patterns obtained with a 12 nm micro zone plate at 1.75 nm wavelength (707eV). The patterns have half-periods of (a) 20 nm, (b) 15 nm, (c) 12 nm, and (d) 10 nm. The lines and spaces can be clearly seen down to 12 nm half-period. Pixel sizes of 3.7 nm and 2.4 nm were used when taking the images (a) and (b), (c) and (d), respectively.
Fig. 9
Fig. 9 The calculated and measured modulations for different periods of Mo/Si test objects imaged with a 12 nm zone plate at the XM-1 microscope. The normalized experimental modulations of 39% ± 5%, 24% ± 5%, 17% ± 7%, and 0% at the half-periods of 20 nm, 15 nm, 12 nm and 10 nm, respectively, are plotted in the graph. The calculation accounts for the optical configurations of the experiment here as well as the characteristics of the test sample used. In comparison to theory, the experimental modulations at the 12 nm, 15 nm, and 20 nm half-periods are 38%, 42%, and 56% of the theoretical values.
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.