Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

EUV reflectance and scattering of Mo/Si multilayers on differently polished substrates

Open Access Open Access

Abstract

Highly reflective Molybdenum/Silicon multilayer mirrors for 13.5 nm are characterized at-wavelength using a new laboratory size measurement system for EUV reflectance and scattering. Roughness analysis before and after coating by Atomic Force Microscopy indicates roughness enhancement as well as smoothing effects during thin film growth. The impact of the substrate finish and the deposition process onto the scattering distribution and scatter losses with regard to the specular reflectance is analyzed.

©2007 Optical Society of America

1. Introduction

Extreme Ultraviolet (EUV) lithography at 13.5 nm is believed to be the most promising successor of Deep Ultraviolet lithography at 193 nm out of several alternatives [1,2] in order to continuously shrink the dimensions of semiconductor structures. This is mainly because it offers both high resolution and sufficiently high throughput (wafers per hour) to enable cost-efficient mass production.

However, EUV technology faces some extraordinary challenges. All materials exhibit considerable bulk absorption and an index of refraction close to unity in this spectral region. Therefore, operation in vacuum is mandatory, and reflective optics are required which have to be coated with multilayers (ML) of about 60 Mo/Si layer pairs [3]. The short wavelength imposes stringent demands concerning film thickness accuracy and interface roughness which have to be controlled at sub-nm-scale. Current work is focused on optimization of EUV mirrors for highest reflectance and stability at 13.5 nm [4,5].

Aside from intrinsic absorption of the thin film coatings, the main contributor to the total loss of EUV optical components is scattering resulting from interface imperfections. In addition, scattering within the field of view (flare) crucially influences the image contrast [6].

Scattering of ML coatings depends on the roughness of all interfaces and their cross-correlation as well as the optical properties of the ML [6,7,8,9,10]. While in many cases top-surface roughness measurements are used for a rough estimation of the scattering properties, in almost all cases only qualitative information can be retrieved. In some cases the results are totally misleading when buried interfaces, defects and film thickness effects are neglected. Therefore, for a thorough characterization of ML coatings, measurements at the wavelength of application are required.

There is a variety of instruments for scattering measurements in the visible, near-infrared, and ultraviolet spectral ranges (see, for instance, [10,11] and Refs. therein) and even for the vacuum ultraviolet [12] and the hard-x-ray ranges [13]. However, only a few instruments exist that are capable of measuring reflectance or scattering around 13.5 nm. The majority of these instruments is based on synchrotron radiation. However, there is a need for compact on-site instruments that can be operated near the deposition plant to reduce the optimization cycles of EUV coatings and to avoid contamination effects distorting the measurement results. Such instruments have recently been developed for the measurement of EUV reflectance [14,15,16]. However, to the best of our knowledge scatter measurements of EUV mirrors have only been reported from the synchrotrons at Lawrence Berkeley National Lab [17] and from Photon Factory [18]. In particular near-angle scattering measurements at quasi-normal incidence are a critical issue. In [18], relative scatter intensities were measured at 14° angle of incidence. In summary, while EUV reflectance metrology has been developed to an impressive state, there is still a need for appropriate EUV scatter measurements which will drastically increase when further optimization of throughput and imaging properties of EUV optical components comes in to focus.

Therefore, in order to meet the increasing demands for rapid and thorough at-wavelength characterization of EUV optical components, a laboratory-size instrument has been developed at the Fraunhofer IOF which is capable of measuring both specular reflectance as well as (off-specular) scattering at 13.5 nm and at nearly arbitrary angles of incidence and scattering.

A critical issue for the assessment and optimization of EUV ML mirrors is the impact of the substrate finish and of roughening and smoothing effects during thin film growth onto the optical performance of the component. This includes throughput, scatter losses, as well as the light scattering distribution. In this paper, results of investigations of Mo/Si mirrors deposited onto differently polished substrates are presented.

2. Definitions and theoretical background

2.1 Geometry

The basic geometry is shown in Fig. 1.

 figure: Fig. 1.

Fig. 1. Basic geometry.

Download Full Size | PDF

θ i, θ r, and θ s are the polar angles of incidence, specular reflection and scattering respectively, and ϕ s is the azimuthal angle of scattering.

2.2 Roughness

The roughness properties of optical surfaces and ML coatings are most accurately described using power spectral density functions, PSDs. These functions express the power of different roughness components in terms of surface spatial frequencies f[19,20,21]. For the sake of simplicity we will assume isotropic roughness (i.e. PSD independent of ϕ s) throughout the paper.

The rms-roughness σ can be calculated by integrating the PSD over a certain spatial frequency range which depends on the specific application [21]:

σ2=2πfminfmaxPSD(f)fdf.

For 13.5 nm, the mid-spatial frequency roughness (MSFR, f = 0.001 μm-1…1 μm-1) and the high-spatial frequency roughness (HSFR, f = 1 μm-1…∞) are of particular interest. While MSFR leads to scattering within the field of view (flare) and thus crucially influences the image contrast, HSFR leads to additional losses as a result of diffuse scattering (f <74 μm-1) and enhanced transmittance (roughness components >74 μm-1) which in EUV coatings results in enhanced absorption.

2.3 EUV Reflectance

The reflectance R is defined as the power Pr specularly reflected by a sample normalized to the incident power Pi.

R=PrPi.

In contrast to the spectral reflectance which is a function of wavelength, at-wavelength reflectance is restricted to the wavelength of application.

The reflectance of a real ML is always smaller than the theoretical reflectance of the ideal ML. This results from imperfections such as interface roughness and intermixing. The ratio S = R real/Rideal is called the Strehl factor. For a single surface it can often be approximated by a factor of the Debye-Waller form [9,6]

S=exp{(4πρcosθiλ)2},

where ρ is the interface width.

The calculations of ML reflectance used in this paper were performed with the Software IMD [22] which takes into account the reduction of the specular field at each interface using a modified Fresnel coefficient formalism [6].

2.4 Scattering

Angle Resolved Scattering, ARS, is defined as the power ΔPs scattered into the solid angle ΔΩs, normalized to ΔΩs, and the incident power Pi[10]:

ARS=ΔPsΔΩsPi.

Total Backscattering, TSb, is defined as the power Ps scattered into the backward hemisphere normalized to the incident power [10]:

TSb=PsPi.

Scattering from high-quality optical components arises as a result of imperfections such as interface roughness or bulk fluctuations of the dielectric function as well as from localized defects. Because the first usually dominates the scattering, in the following we restrict ourselves to interface scattering.

In the 1970s and 1980s scalar as well as vector theories were developed to describe the scattering from slightly rough surfaces and ML coatings [23,9,10]. However, vector theory is required to accurately describe the angular distribution of scattering [23].

The basic procedure of vector perturbation theory (VPT) is solving Maxwell’s equations for the ideally smooth multilayer and replacing the interface roughness by plane surface current sheets which represent the sources for the scattered waves. The result is a linear relationship between the ARS and the roughness properties which for a ML consisting of N layers can be formulated as [10]:

ARS(θs)=1λ4i=1N+1j=1N+1CiCj*PSDij(f),

The optical factors Ci contain all information on the corresponding perfectly smooth ML (without the roughness properties) such as the dielectric constants and the conditions of illumination and observation (angles of incidence and scattering, polarization states). The PSD ij are the interface cross-correlation PSDs. PSDii is simply the PSD of the i-th interface.

The link between the spatial frequency and the scattering geometry is given by the grating equation. For in-plane scattering, i.e. φ s = 0°, f = (sinθs - sinθi)λ. Thus, the range of scattering angles of interest at a given wavelength corresponds to a certain spatial frequency range of the PSD. It is interesting to note that for 13.5 nm and normal incidence the scatter angles measured in degrees are approximately equal to the spatial frequencies in μm-1.

The VPTs are valid only if the interface roughness is small compared to the incident wavelength (small roughness approximation). However, this is necessarily fulfilled for high-quality optical components or, in other words, if for a ML coating the VPT is not applicable the optical component cannot be regarded as a mirror anyway.

Already in [23], experimental data of ARS curves at λ = 633 nm were found to be in good agreement with VPT modeling based on PSDs from profilometric data. In [24], Elson’s theory was used to retrieve roughness properties from ARS measurements of single surfaces at grazing incidence in the soft x-ray spectral range.

In the 1980s and 1990s, theories were developed in the so called Distorted Wave Born Approximation (DWBA) to describe the x-ray scattering from single surfaces [25] and multilayer coatings [26]. The DWBA postulates that the incident and scattered fields are two solutions of the wave equations for the ideally smooth ML. The interface roughness leads to coupling of energy from the incident into the scattered wave mode. The result is an integral expression for the ARS. However, in the small roughness approximation, DWBA leads to similar results as the VPT both theoretically and experimentally.

For the scatter calculations in this paper we used a software code developed at the Fraunhofer IOF which is based on the VPT of [9]. The software can handle both model PSDs as well as real PSDs calculated from profilometric data. This is particularly important for superpolished substrates which often can not be described by simple PSD models. Furthermore, in contrast to DWBA codes, arbitrary polarization states of the incident and scattered radiation can be investigated.

Theoretical expressions for the total scattering of single surfaces have been retrieved from scalar theories [7] as well as by integration of the ARS from VPT [8]. For a single surface, the TS b can be calculated as:

TSb=R(4πσλ)2forτcλ
TSb=R(4πσλ)2(2τcλ)2forτcλ

where τc is the roughness correlation length.

The similarity of Eq. (7a) and the first order Taylor series expansion of the Debye-Waller factor for a single interface [Eq. (3)] is noteworthy.

As a rough estimation, for a ML coating with fully correlated and identical interfaces and with a correlation length much larger than λ the TS b can be approximated by the TS b of an opaque single surface with the same roughness and reflectance [7]. However, while polished substrate surfaces in general exhibit large τc, thin film coatings often exhibit τc values of a few ten nanometers. Thus, the applicability of the single surface approximation in the EUV region might be problematic.

Currently, there is no possibility to measure TSb directly in the EUV. However, it can be calculated by integrating the ARS within the backscattering hemisphere. For normal incidence and isotropic scattering the integral is:

TSb=2π2°85°ARS(θs)sinθsdθs,

where the integration limits follow ISO 13696.

The applicability of Eq. (8) was experimentally demonstrated in [11] for ML coatings at 157 nm by comparing directly measured TS values with values calculated from ARS measurements.

3. Experimental

3.1 Samples

60 bilayers of Mo and Si, optimized for highest reflectance at 13.5 nm at an angle of incidence of 5°, were deposited by magnetron sputtering onto three fused silica substrates (diameter of 25 mm) from different suppliers.

3.2 Roughness Analysis

The top-surfaces of the samples before and after thin film deposition were investigated using an atomic force microscope Veeco Dimension DI 3100. Single crystalline Si tips with a nominal radius of 10 nm were used in Tapping Mode™. Several scans were performed on each sample at different positions and in different scan areas of 1×1 μm2, 10×10 μm2, and 50×50 μm2. Each scan contained 512×512 data points. From the AFM data, PSDs were calculated and combined to Master PSDs (see [21]) for each sample before and after deposition.

In addition, grazing incidence X-ray reflectivity measurements were performed at 0.154 nm using a commercial X-ray diffractometer for the determination of the ML period. In addition, the XRR scans allow conclusions about the interface roughness.

3.3 EUV Reflectance and Scattering

The instrument for EUV reflectance and scatter measurements, developed at the Fraunhofer IOF, is schematically shown in Fig. 2.

 figure: Fig. 2.

Fig. 2. MERLIN - experimental set-up: (SC, BC, MC) source-, beam preparation-, and measurement chamber, (1) EUV source, (M1-M5) mirrors, (2) hole (3) Zr filter, (4) pinhole, (5) samples, (6) x-y-positioning unit, (7) detectors, (8) reference detector.

Download Full Size | PDF

3.3.1 Vacuum system

The vacuum system consists of three chambers, the source chamber (SC), the beam preparation chamber (BC) and the measurement chamber (MC). The EUV source (1) is attached to the SC which contains the collector optics. The SC is connected with the BC through a 2 mm diameter hole (2) which enables differential pumping between the SC and the BC. The MC is connected to the BC through 40 mm and 150 mm diameter gate valves. An oil-free piston pump attached to the BC and the MC is used as roughing pump. A 250 mm diameter turbo molecular pump is attached to the BC to achieve a high vacuum with a base pressure <5×10-6 mbar within approximately 15 minutes pumping time.

3.3.2 Light source

An EUV lamp from AIXUV [27] is used as light source. It is based on a Xenon discharge plasma generating about 1014 photons with each pulse into 2π sr within the EUV band of 2% around 13.5 nm, which corresponds to about 4 mJ in-band power per pulse. In addition, out-of-band radiation is emitted ranging from a few nanometers up to the visible spectral range. The pulse length is about 10 ns and the source is operated at a repetition rate of 50 Hz.

3.3.3 Beam preparation system

For an instrument capable of both reflectance and scatter measurements of high-quality optical components, high spectral and spatial purity as well as a high dynamic range is essential. To achieve a clean quasi-monochromatic core beam by concurrently maintaining as much intensity in the incident beam as possible constitutes a challenging task especially in the EUV.

In order to suppress out-of-band radiation at longer ultra violet wavelengths and to protect the collector optics from source debris, a particle stream consisting of sputtered electrode material, Xe ions and electrons, an 0.2 μm thick Zirconium foil transmission filter (3) is used.

Throughout the optical system, Mo/Si ML mirrors manufactured at the Fraunhofer IOF are used. These mirrors have been optimized for highest reflectance at 13.5 nm at their respective angles of application. The collector optics consists of a focusing mirror M1 (Ø50 mm, f = 200 mm) followed by two plane deflecting mirrors M2 and M3. Finally, the plasma discharge is imaged to an intermediate focus between the SC and the BC (2).

The beam entering the BC is focused by M4 (Ø50 mm, f = 100 mm) onto a pinhole (4). The pinhole is imaged by M5 (Ø50 mm, f = 200 mm) onto the detector in the MC. Pinhole diameters between 0.1 mm and 0.5 mm are used depending on the application; the size being a tradeoff between incident power and beam diameter at the detector aperture.

The complete beam preparation system consisting of the Zr filter and five Mo/Si-mirrors constitutes a highly efficient EUV band pass filter as can be seen in Fig. 3. The effective spectrum registered by the detector was measured using a narrow-band reflector especially designed for this purpose, where we used the fact that measuring the specular reflectance as a function of the angle of incidence corresponds to scanning the wavelength. The incident spectrum was determined to be centered at 13.5 nm with a bandwidth of 0.3 nm FWHM. The polarization of the incident beam, which has to be considered for the interpretation of the measured data, is nearly unpolarized (65% s-polarized, estimated using the theoretical reflectance of the beam preparation optics).

 figure: Fig. 3.

Fig. 3. Calculated spectral filter performance of the beam preparation system. The Zr filter suppresses out-of-band radiation at longer wavelengths while the Mo/Si mirrors act as narrow-band reflection filters.

Download Full Size | PDF

3.3.4 Reflectance and scatter measurements

The measurements are based on a precision double goniometer designed and manufactured at the Fraunhofer IOF. The samples (5) are mounted on a horizontal x-y-positioning unit (6) on the inner goniometer arm. The outer goniometer arm carries the detector system (7). Inner and outer goniometer arms can be rotated within 360° with a resolution of 0.01°. The incident beam is slightly tilted with respect to the measurement plane (~1.5°) to prevent any obscuring of the incident beam by the detector. This enables measurements at quasi-normal incidence.

Si photodiodes, uncoated or coated with an additional EUV band pass filter, are used as detectors [28]. In addition, a photodiode in the BC detecting radiation scattered off the pinhole is used as reference detector (8). The detectors are connected to pulse amplifiers and signal processing is performed using a digital oscilloscope. The reference pulse is used for triggering the oscilloscope in order to suppress the influence of time jitter of the plasma discharge and to correct the measurement signals for fluctuations of the output power of the source.

The specular reflectance was measured as a function of the angle of incidence by scanning θ i from ≈0° to 90° and simultaneously adjusting θ r to θ r = 2θ i (θ-2θ-Scan) to check for possible center-wavelength shifts and top-surface layers of the coatings.

The reflectance of each sample was measured at an angle of incidence of 5° by comparing the reflected signal to the corresponding signal of a reference sample which was calibrated to peak value of spectral reflectance measurements performed at the EUV metrology lab of the Physikalisch Technische Bundesanstalt (PTB) at the electron storage ring BESSYII. Each reflectance value was obtained by averaging over 10 single values where each single value again was obtained by averaging over 250 pulses.

EUV scattering was measured at an angle of incidence of 5° by scanning the detector arm within the plane of incidence. The measured power was normalized to the incident power and to the detector solid angle to obtain ARS. From the ARS data the TSb values were determined by numerical integration assuming isotropic scattering. The relative measurement uncertainty of both ARS and TS as a result of the possible errors of calibration, detector linearity as well as detector noise is estimated to be <15% following the considerations in [12].

Photographs of the whole system and the double goniometer are shown in Fig. 4. The dimensions of the system are 2.5 × 0.7 × 1.5 m3.

 figure: Fig. 4.

Fig. 4. Photographs of the instrument for the measurement of EUV reflectance and scattering (MERLIN). Left: Source, beam preparation chamber and measurement chamber, Right: double-goniometer.

Download Full Size | PDF

4. Results and Discussion

4.1 Roughness analysis and modeling

Representative AFM images in 1×1 μm2 scan areas of all samples before and after deposition are shown in Fig. 5 together with the Master PSDs and modeling results.

Figure 5 reveals substrate roughness replication in the mid-spatial frequency range up to 1 μm-1 throughout the MLs for all samples. In the high-spatial frequency range above 1 μm-1, roughening can be observed for samples 1 and 3 while smoothing occurs for sample 2 beyond 20 μm-1. At spatial frequencies >100 μm-1 instrument noise effects result in a distortion of the PSDs.

Modeling of the coating PSDs was accomplished using the linear growth theory proposed in [6]. In this model, the roughness of a thin film growing on a rough substrate is described as the result of: (i) roughness replicated from the substrate, (ii) intrinsic thin film roughness as a result of the shot noise of the flux of incoming particles during the deposition process, and (iii) local smoothing as a result of surface mobility of the deposited particles.

For an ML consisting of N bilayers of material H and material L the PSD N of the top-surface can be calculated as [6]:

PSDN=1(aL2aH2)N1aL2aH2(PSDint(L)+aL2PSDint(H))+(aL2aH2)NPSDsub,

with the substrate-independent (intrinsic) PSDs of the L or H films:

PSDint(LH)=ΩLH1exp{2νLH2πfndLH}2νLH2πfn.

The intrinsic PSDs depend on the material and the deposition parameters Ω (volume of a constituent of the film), ν (independent growth parameter), and n (relaxation exponent). PSD sub is the substrate PSD. The a L/H describe the replication of different roughness components of a single layer:

aLH=exp{νLH2πfndLH},

In the modeling procedure, the AFM Master PSDs were used for the substrate roughness. For the film roughness, the parameters ΩSi = 0.015 nm3, νSi = 3 nm3.5, and n Si = 4.5 were chosen for all Si films and ΩMo = 0.03 nm3, νMo = 3 nm3.5, and n Mo = 4.5 for all Mo films for all samples. Different Ω values for the Si and Mo films were chosen because the Mo films exhibit crystalline growth while the Si layers are amorphous. In particular the parameters Ω are considerably smaller than the values reported in [6]. Furthermore, a relaxation exponent of n = 4 was reported in [6] and surface diffusion was seen as the dominant relaxation process. The values of 4.5 retrieved in our case possibly indicate an additional relaxation process. Consequently, the growth model predicts smoother interfaces of our coatings presumably as a result of different process parameters. However, further investigations and direct comparisons are necessary to support this statement.

Rms roughness values of the uncoated and coated samples, calculated by integrating the Master PSDs in the appropriate spatial frequency ranges, are given in Tab. 1. The integration ranges were [0.001 μm-1, 1 μm-1] for MSFR, [1 μm-1, 256 μm-1] for HSFR, and [2.6 μm-1, 74 μm-1] for the scatter relevant roughness.

Tables Icon

Table 1. Rms roughness in different spatial frequency ranges. Values in parenthesis are for the uncoated substrates.MSFR: f = 0.001 μm-1…1 μm-1, HSFR: f = 1 μm-1…256 μm-1, roughness relevant for scattering at 13.5 nm: f = 2.6 μm-1…74 μm-1.

The MSFR values are nearly identical before and after coating for all samples. The deviations are presumably the result of AFM measurement errors. The HSFR is clearly dominated by roughness components corresponding to scattering between 2° and 85°. The smoothing effect observed for sample 2 reduces the HSFR by only 0.04 nm.

 figure: Fig. 5.

Fig. 5. Results of roughness analysis based on AFM measurements and modeling. Left: 1×1 μ m2 AFM images before and after coating. Right: Master PSDs determined from AFM measurements and modeling results.

Download Full Size | PDF

The results of the XRR scans are shown in Fig. 6. The equal angular positions of the diffraction peaks for all samples indicate equal ML periods. In addition, information about the interface width can be retrieved from the decrease of the curves with increasing grazing angle. In particular, the different heights of the peaks near 5.7° indicate that sample 2 exhibits the highest roughness while samples 1 and 3 exhibit nearly identical roughness.

 figure: Fig. 6.

Fig. 6. Results of XRR measurements.

Download Full Size | PDF

4.4 EUV Reflectance

The results of the θ-2θ scans are shown in Fig. 7. All samples exhibit similar curves indicating equal ML periods and bandwidths. However, for all samples the angle θ max of maximum reflectance is shifted to a slightly larger angle than 5°. This arises from the fact that the center wavelength at half maximum and the peak reflectance of the MLs are located at 13.5 nm and 13.57 nm respectively as observed from spectral reflectance measurements performed at the PTB.

 figure: Fig. 7.

Fig. 7. Results of θ-2θ measurements and simulations assuming a 0 nm/2 nm thick SiO2 capping layer.

Download Full Size | PDF

 figure: Fig. 8.

Fig. 8. Results of the reflectance measurements using MERLIN (black squares) compared to the peak values of spectral reflectance curves measured at the PTB (red circles).

Download Full Size | PDF

The steep increase around 70° corresponds to the critical angle of total external reflection, θ crit. The reflectance properties in this region are dominated by the optical properties of the top-layer. As is well known, all Si capped ML mirrors exhibit a thin, naturally grown silicon dioxide top-layer. Figure 7 shows the results of simulations using the thickness of the SiO2 layer as a free fitting parameter. The best agreement is obtained for a thickness of 2 nm which is in good agreement with the values reported for instance in [5].

At-wavelength reflectance measurements at an angle of incidence of 5° were performed as described in Sec. 3. The measurements were calibrated to the peak reflectance of the reference sample determined from spectral reflectance measurements performed at PTB. This procedure automatically compensates effects resulting from the different spectral resolutions of the instruments. The uncertainties of our results were determined as the 2σ value of 10 repeated measurements. The results together with the peak values observed from PTB measurements are shown in Fig. 8.

4.5 Angle Resolved and Total Scattering

The results of the angular scans are shown in Fig. 9.

 figure: Fig. 9.

Fig. 9. ARS measurement results.

Download Full Size | PDF

The curves exhibit the specular peaks at 5° as well as different levels of off-specular scattering can be observed. The characteristic shape of the specular peaks of all samples results from the convolution of the finite detector aperture with the incident beam [20]. The near-angle limit of the scatter measurements can more clearly be observed from the inlet in Fig. 10 where the data are shown at a double-logarithmic scale. The near-angle limit for the present investigations is 0.5° from the specular direction. This could be further reduced by using smaller detector apertures and reduction of the beam diameter at the position of the detector aperture.

Around 1° from the specular direction the signature is dominated by scattering from the beam preparation optics. In particular the ARS of sample 1 is influenced by the signature in this angular range. However, with an MSFR of 0.1 nm this sample seems to represent the lower limit of scattering that could be expected from EUV mirrors in general.

Scattering into angles >20° was below the background noise level. Nevertheless, the total scatter loss is dominated by scattering into smaller scatter angles. This also leads to the conclusion that the smoothing effect observed for sample 2 has no significant effect on the TS.

4.6 Total scattering and comparison with specular reflectance

The TSb values for all samples were calculated from the ARS data by numerical integration. Possible sources of error arise from the uncertainty of the ARS measurement itself. Thus, as a worst case estimation, the relative uncertainty of the TSb values given below is approximately 15%, which for a TSb value of 1% is equivalent to an absolute uncertainty of only 0.2%. The TSb results are shown in Tab. 3 and in Fig. 10 together with the R results.

Tables Icon

Tab. 2:. Total backscattering compared to reflectance values.

 figure: Fig. 10.

Fig. 10. Total backscattering compared to reflectance values.

Download Full Size | PDF

The results clearly demonstrate that power is removed from the specular direction and redistributed into off-specular scattering as a result of interface roughness. The sum of TS and R is about 69% for all samples. This indicates that, in principle, a reflectance of 69% could be achieved even for standard Mo/Si ML coatings without additional barrier or capping layers if scattering from interface roughness could be completely suppressed.

The Strehl factors for samples 1, 2, and 3 are 92%, 88%, and 90% respectively. Using, as a rule of thumb, the single-surface approximation, the Strehl factors can only be modeled by introducing an average interface width of ρ = (σ2 + σ2 i)1/2 where σ represents the HSFR of the samples and σi an additional component resulting from intermixing which does not produce diffuse scattering. The best fit for all samples is achieved with a value of σi ≈ 0.24. Thus, intermixing and roughness induced scattering are two loss mechanisms of the same order of magnitude offering a two-fold optimization.

4.7 Scatter modeling

Solving the inverse scattering problem, i.e. calculating roughness properties from ARS data, is only possible for single surfaces. Yet, modeling ARS based on hypothetical PSDs and comparison with experimental ARS curves enables to prove (or disprove) the assumptions used for the modeling and thus to solve the problem by forward calculation.

The ARS of the samples was modeled using the following input: (i) the substrate and the top-surface PSDs calculated from AFM data, (ii) the linear growth model to determine the PSDs of the interfaces not accessible to the AFM, and (iii) the theoretical background described in Sec. 2 where we assumed partial correlation between different interfaces. A result of the simulated ARS compared to the measured curve is exemplarily shown for sample 2 in Fig. 11.

The good agreement demonstrates that (i) our model of the roughness properties of the ML based on AFM data and the growth model is correct, (ii) the measured curve is accurately calibrated, and (iii) the scattering theory used for the simulation is valid at 13.5 nm.

The results indicate that the different scattering properties of the samples are mainly the result of different substrate roughness replicated through the MLs.

 figure: Fig. 11.

Fig. 11. ARS of sample 2 - measurement and simulation results.

Download Full Size | PDF

Finally, it should be noted that scatter modeling requires knowledge of the PSD over a large spatial frequency range and at a variety of sample positions. In particular the low- and high-spatial frequency AFM measurements are critical because of the influence of the scanner bow and noise respectively. In addition, a growth model must be given a-priori or determined from AFM data. Thus, pure modeling of the scattering properties would require a tremendous effort of resources and leave a large amount of uncertainty. Moreover, volume effects such as nodules are totally neglected. Only at-wavelength reflectance and scatter measurements enable a fast and robust characterization of EUV optical components under the conditions of application.

5. Summary and Conclusion

Mo/Si multilayers, deposited onto different superpolished fused silica substrates, were characterized. The reflection and scattering properties were investigated at 13.5 nm using a new instrument for the measurement of EUV reflectance and scattering.

AFM measurements indicated a strong influence of the substrate roughness on the interface roughness of the multilayer structure as a result of nearly perfect replication of mid-spatial frequency roughness. Roughness enhancement as well as smoothing effects were observed in the high-spatial frequency range. The observations are in good agreement with the ML growth model proposed in [6]. The growth parameters of the thin film coatings for the deposition process used in this investigation were determined from PSD data and indicated a slightly smoother growth than reported in [6].

Scatter losses between 1% and 4% were determined from the ARS curves, the results being consistent with different reflectance values. Thus, the investigations revealed that a significant amount of specular reflectance is redistributed into off-specular scattering. This was mainly a result of replication of substrate roughness throughout the multilayers.

Acknowledgments

The contributions of Stefan Gliech, Mathias Kamprath, Sergiy Yulin, and Constanze Großmann to engineering, optical design and fabrication of MERLIN as well as the contributions of Tobias Herffurth and Nicolas Benoit to the measurements are gratefully acknowledged. We also thank Raj Korde (IRD Inc.) and Rainer Lebert (AIXUV) for their kind support regarding detectors and EUV source. This work was supported by the DFG SPP 1159 StraMNano project NanoStreu.

References and links

1. R. F. Pease, “Lithographic technologies that haven’t (yet) made it; lessons learned,” Proc. SPIE 5751, 15–25 (2005). [CrossRef]  

2. P. J. Silverman, “Extreme ultraviolet lithography: overview and development status,” J. Microlith., Microfab., Microsyst. 4, 011006 (2005). [CrossRef]  

3. T. Feigl, S. Yulin, N. Benoit, and N. Kaiser, “EUV multilayer optics,” Microelectron. Eng. 83, 703–706 (2006). [CrossRef]  

4. N. Benoit, S. Yulin, T. Feigl, and N. Kaiser, “EUV multilayer mirrors with enhanced stability,” in Advances in X-Ray/EUV Optics, Components, and Applications, A. M. Khounsary and C. Morawe, eds., Proc. SPIE 6317, 63170K (2006). [CrossRef]  

5. S. Bajt and D. G. Stearns, “High-temperature stability multilayers for extreme-ultraviolet condenser optics,” Appl. Opt. 44, 7735–7743 (2005). [CrossRef]   [PubMed]  

6. D. G. Stearns, D. P. Gaines, D. W. Sweeney, and E. M. Gullikson “Nonspecular x-ray scattering in a multilayer-coated imaging system,” J. Appl. Phys. 84, 1003–1028 (1998). [CrossRef]  

7. C. K. Carniglia, “Scalar Scattering Theory for Multilayer Optical Coatings,” Opt. Eng. 18, 104–115 (1979).

8. J. M. Elson, J. P. Rahn, and J. M. Bennett, “Relationship of the total integrated scattering from multilayer-coated optics to the angle of incidence, polarization, correlation length, and roughness cross-correlation properties,” Appl. Opt. 22, 3207–3219 (1983). [CrossRef]   [PubMed]  

9. P. Bousquet, F. Flory, and P. Roche, “Scattering from multilayer thin films: theory and experiment,” J. Opt. Soc. Am. 71, 1115–1123 (1981). [CrossRef]  

10. A. Duparré, “Scattering from Surfaces and thin Films,” in Encyclopedia of Modern Optics, R. D. Guenther et al., eds. (Elsevier, Oxford,2004).

11. S. Schröder, S. Gliech, and A. Duparré , “Sensitive and flexible light scatter techniques from the VUV to IR regions,” in Optical Fabrication, Testing, and Metrology II, A. Duparré, R. Geyl, and L. Wang, eds., Proc. SPIE 5965, 424–432 (2005).

12. S. Schröder, S. Gliech, and A. Duparré, “Measurement system to determine the total and angle resolved light scattering of optical components in the deep-ultraviolet and vacuum-ultraviolet spectral regions,” Appl. Opt. 44, 6093–6107 (2005). [CrossRef]   [PubMed]  

13. V. E. Asadchikov, A. Duparré, S. Jakobs, A. Y. Karabekov, I. V. Kozhevnikov, and Y. S. Krivonosov, “Comparative Study of the Roughness of Optical Surfaces and Thin Films by use of X-Ray Scattering and Atomic Force Microscopy,” Appl. Opt. 38, 684–691 (1999). [CrossRef]  

14. F. Scholze, T. Böttger, H. Enkisch, C. Van Laubis, L. Loyen, F. Macco, and S. Schädlich, “Characterization of the measurement uncertainty of a laboratory EUV reflectometer for large optics,” Meas. Sci. Technol. 18, 126–130 (2007). [CrossRef]  

15. R. Lebert, C. Wies, L. Juschkin, B. Jaegle, M. Meisen, L. Aschke, F. Sobel, H. Seitz, F. Scholze, G. Ulm, K. Walter, W. Neff, K. Bergmann, and W. Biel, “High-throughput EUV reflectometer for EUV mask blanks,” in Emerging Lithographic Technologies VIII, R. S. Mackay, ed., Proc. SPIE 5374, 808–817 (2004). [CrossRef]  

16. K. Starke, H. Blaschke, L. Jensen, S. Nevas, D. Ristau, R. Lebert, C. Wies, A. Bayer, F. Barkusky, and K. Mann, “Novel Compact Spectrophotometer for EUV-Optics Characterization,” Proc. SPIE 6317, 631701 (2006). [CrossRef]  

17. E. M. Gullikson, S. L. Baker, J. E. Bjorkholm, J. Bokor, K. A. Goldberg, J. E. Goldsmith, C. Montcalm, P. Naulleau, E. Spiller, D. G. Stearns, J. S. Taylor, and J. H. Underwood, “EUV scattering and flare of 10x projection cameras.” Proc. SPIE 3676, 717–723 (1999). [CrossRef]  

18. N. Kandaka and T. Komiya, “Measurement of EUV scattering from Mo/Si multilayer mirrors,” http://pfwww.kek.jp/pfacr/part_b/pf03b257.pdf, (last visited 07-03-2007).

19. J. M. Bennett and L. Mattson, Introduction to Surface Roughness and Scattering (Optical Society of America,1989).

20. J. C. Stover, Optical Scattering: Measurement and Analysis, 2nd ed., Vol. PM24 of the Press Monographs (SPIE, Bellingham, Wash., 1995). [CrossRef]  

21. A. Duparré, J. Ferré-Borrull, S. Gliech, G. Notni, J. Steinert, and J. M. Bennett, “Surface characterization techniques for determining the root-mean-square roughness and power spectral densities of optical components,” Appl. Opt. 41, 154–171 (2002). [CrossRef]   [PubMed]  

22. D. L. Windt, “IMD - Software for modeling the optical properties of multilayer films,” Comp. Phys. 12, 360 (1998). [CrossRef]  

23. J. M. Elson and J. M. Bennett, “Vector Scattering Theory,” Opt. Eng. 18, 116–124 (1979).

24. H.-G. Birken, C. Kunz, and R. Wolf, “Angular Resolved Soft X-Ray Scattering from Optical Surfaces,” Physica Scripta 41, 385–389 (1990). [CrossRef]  

25. S. K. Sinha, E. B. Sirota, S. Garoff, and H. B. Stanley, “X-ray and neutron scattering from rough surfaces,” Phys. Rev. B 38, 2297–2312 (1988). [CrossRef]  

26. V. Holý and T. Baumbach, “Nonspecular x-ray reflection from rough multilayers,” Phys. Rev. B 49, 10668–10679 (1994). [CrossRef]  

27. R. Lebert, C. Wies, B. Jägle, L. Juschkin, U. Bieberle, M. Meisen, W. Neff, K. Bergmann, K. Walter, O. Rosier, M.C. Schuermann, and T. Missalla, “Status of the EUV-lamp development and demonstration of applications,” Proc. SPIE 5374, 943–953 (2004). [CrossRef]  

28. R. Korde, C. Prince, D. Cunningham, R. E. Vest, and E. Gullikson, “Present status of radiometric quality silicon photodiodes,” Metrologia 40, S145–S149 (2003). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (11)

Fig. 1.
Fig. 1. Basic geometry.
Fig. 2.
Fig. 2. MERLIN - experimental set-up: (SC, BC, MC) source-, beam preparation-, and measurement chamber, (1) EUV source, (M1-M5) mirrors, (2) hole (3) Zr filter, (4) pinhole, (5) samples, (6) x-y-positioning unit, (7) detectors, (8) reference detector.
Fig. 3.
Fig. 3. Calculated spectral filter performance of the beam preparation system. The Zr filter suppresses out-of-band radiation at longer wavelengths while the Mo/Si mirrors act as narrow-band reflection filters.
Fig. 4.
Fig. 4. Photographs of the instrument for the measurement of EUV reflectance and scattering (MERLIN). Left: Source, beam preparation chamber and measurement chamber, Right: double-goniometer.
Fig. 5.
Fig. 5. Results of roughness analysis based on AFM measurements and modeling. Left: 1×1 μ m2 AFM images before and after coating. Right: Master PSDs determined from AFM measurements and modeling results.
Fig. 6.
Fig. 6. Results of XRR measurements.
Fig. 7.
Fig. 7. Results of θ-2θ measurements and simulations assuming a 0 nm/2 nm thick SiO2 capping layer.
Fig. 8.
Fig. 8. Results of the reflectance measurements using MERLIN (black squares) compared to the peak values of spectral reflectance curves measured at the PTB (red circles).
Fig. 9.
Fig. 9. ARS measurement results.
Fig. 10.
Fig. 10. Total backscattering compared to reflectance values.
Fig. 11.
Fig. 11. ARS of sample 2 - measurement and simulation results.

Tables (2)

Tables Icon

Table 1. Rms roughness in different spatial frequency ranges. Values in parenthesis are for the uncoated substrates.MSFR: f = 0.001 μm-1…1 μm-1, HSFR: f = 1 μm-1…256 μm-1, roughness relevant for scattering at 13.5 nm: f = 2.6 μm-1…74 μm-1.

Tables Icon

Tab. 2: Total backscattering compared to reflectance values.

Equations (12)

Equations on this page are rendered with MathJax. Learn more.

σ 2 = 2 π f min f max PSD ( f ) f d f .
R = P r P i .
S = exp { ( 4 πρ cos θ i λ ) 2 } ,
ARS = Δ P s ΔΩ s P i .
T S b = P s P i .
ARS ( θ s ) = 1 λ 4 i = 1 N + 1 j = 1 N + 1 C i C j * PSD ij ( f ) ,
T S b = R ( 4 πσ λ ) 2 for τ c λ
T S b = R ( 4 πσ λ ) 2 ( 2 τ c λ ) 2 for τ c λ
T S b = 2 π 2 ° 85 ° ARS ( θ s ) sin θ s d θ s ,
PSD N = 1 ( a L 2 a H 2 ) N 1 a L 2 a H 2 ( PSD int ( L ) + a L 2 PSD int ( H ) ) + ( a L 2 a H 2 ) N PSD sub ,
PSD int ( L H ) = Ω L H 1 exp { 2 ν L H 2 πf n d L H } 2 ν L H 2 πf n .
a L H = exp { ν L H 2 πf n d L H } ,
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.