Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Tailoring mode splitting and degeneracy in silicon triply resonant nanobeam cavities

Open Access Open Access

Abstract

We investigate the use of coupled silicon nanobeam cavities for achieving multiple resonances as a photonic dedicated platform for nonlinear signal processing and sensing. The transmission spectra of the triple-coupled cavities were measured, and high quality factors, up to about 80,000, have been experimentally reported. By precisely varying the positions of three cavities vertically and horizontally, evolutions of the supermodes were fully mapped, in good agreement with the simulation designs. Some specific and interesting properties such as the dark state of the system, leading to unique transmission spectra, have been clearly observed. Based on a geometrical control of the structure, the three resonances can be tuning independently, and degeneracy modes emerge in some configurations when the distance parameters are properly chosen. This triple-resonant device is expected to enable the adjustment of third-order nonlinear properties in silicon photonics, and it is also a candidate for sensing or reconfigurable and programmable photonics.

© 2019 Optical Society of America

1. INTRODUCTION

Photonic crystal (PhC) cavities have attracted great interest in recent years due to their unique optical properties such as high quality (Q) factors and small mode volumes (V), which could be utilized for enhancing light–matter interactions. Planar PhC cavity devices have been widely applied in opto-mechanics [1,2], optical modulation [3], nonlinear optics [4,5], lasing [6,7], sensing [8,9], etc. In some situations, it is desirable to have nanostructures to simultaneously control several resonances in integrated optics, such as for optical filtering, nonlinear wavelength conversion [1012], or pump-probe experiments [13]. In such cases, multimode PhC cavities are promising candidates. Multimode single PhC cavities have shown interesting performances, for instance, a GaAs multimode single nanobeam cavity has been applied for frequency conversion between emitters and telecommunication wavelengths [12,14]. However, independently tuning each of their modes remained a strong challenge. Correspondingly, coupled cavities allow a high degree of control of multiple resonances through a wide variety of configurations. In a coupled system, photons confined in the individual cavity can reproduce the physics of interacting atomic energy levels due to the evanescent wave coupling mechanisms, generating the supermodes among several cavities, a so-called photonic molecule (PM) [15,16]. Triple-coupled cavities have been investigated in several platforms, including coupled micro-rings [1720], micro-disks [2124], and PhC cavities [2527], for the application of spectral engineering [19,21], optical filtering [17,18], lasing [23], four-wave mixing (FWM) [20,27], and luminescence enhancement [25]. Among these platforms, PhC nanobeam cavities have ultra-compact configurations and the smallest mode V. Recently, we have proposed the systematic study of triply resonant nanobeam cavities in a flexible generic configuration for the adjustment of third-order nonlinear properties in silicon photonics [28]. More specifically, this triply resonant device could be used to enhance FWM in silicon photonic chips for applications such as optical parametric oscillation [20,29], self-pump-filtering for quantum optics [27], all-optical demultiplexing [30], and all-optical wavelength conversion [31,32]. Yet, flexible configurations of triple-coupled PhC cavity systems have not been experimentally studied to our knowledge.

Here, we propose a triple-coupled silicon PhC cavity device. A starting one-dimensional (1D) planar PhC nanobeam cavity is chosen due to its small footprint and flexibility for integration. We followed our previous design work [28], fabricated devices on a standard silicon on insulator (SOI) photonic platform, and characterized these cavities in different geometrical configurations. As shown hereafter, the obtained experimental results match well with the simulation designs, indicating the flexibility of the approach for fine tuning the frequency splittings among the three resonant modes. Supermode dark states and degeneracy points were revealed, both presenting potential for information processing and sensing. Furthermore, the best possible approaches for symmetrical control of the three resonances of PM are discussed, whose feature is a key point for the use of PM in FWM processes.

2. EXCITATION RESONANCES IN TRIPLE-COUPLED NANOBEAM CAVITIES

Our devices were fabricated on a SOI wafer with a 220 nm silicon layer on top of 2 μm buried oxide. Devices were composed by three parallel PhC nanobeam cavities. The cavities were naturally coupled to the input and output waveguides, among which the distances were greatly increased after the cavity region to inhibit waveguide coupling. Scanning electron microscope (SEM) views of the sample are shown in Fig. 1(a), in which the lattice constant a, waveguide width W, the horizontal offset between the middle cavity and side cavities Dx, and the vertical distances between three cavities Dy are considered. Here, we set the lateral distance between two side cavities at zero. We first followed a deterministic design method for achieving ultra-high Q and high transmission nanobeam cavity [33,34]. Each cavity consists of a wire waveguide (W=700nm) drilled by a row of holes with an invariable lattice constant. Sixteen pairs of modulated holes and ten pairs of extra holes are arranged symmetrically on the two sides of the waveguide. The modulated holes tailor the resonant frequency and the Q factor of the cavity, and the radii of modulated holes linearly decrease from the cavity center (Rmax=100nm) to its side (Rmin=73nm). The extra holes only control the Q factor of the cavity resonance, whose radii are equal to Rmin. The vertical and horizontal distances between three cavities are carefully controlled by our guideline of the coupled cavity design [28]. We choose the 100 to 200 nm region as the vertical gap between cavities (Dy), which satisfied the coupling requirement leading to mode splitting among three cavities. The device patterns (including strip waveguide and holes) were defined by electron beam lithography. Then, the patterned structures were transferred from the ZEP photoresist layer into the silicon thin film layer by the low-pressure plasma etching process with inductively coupled plasma (ICP). After removing the photoresist, the surface of the sample was covered by PMMA cladding. Finally, the sample was mechanically cleaved to around 5 mm wide chips, allowing optical transmission paths sequentially from the 3 μm wide access waveguides to the nanocavities through linear tapers.

 figure: Fig. 1.

Fig. 1. (a) SEM views of triple-coupled nanobeam cavities. Cavities are connected to the external strip waveguide, among which the distances are increased after the cavity region. (b) The three supermodes’ field maps of the system, FM/DM/EM, with resonance wavelengths of 1576.95 nm/1568.29 nm/1560.69 nm and Q factors of 38,307/63,826/115,347 (simulation was carried out using 3D-FDTD with a=312nm, W=700nm, Dy=100nm, Dx=0nm) [28].

Download Full Size | PDF

Here, we report some devices in the center of the sample to demonstrate the linear properties of the coupled cavities. As illustrated in Fig. 1(b), there are three supermodes of the coupled cavity system. Among them, the second one named the dark mode (DM) has a vanishing spatial overlap with the middle cavity, while the two other ones are the fundamental mode (FM) and excited mode (EM), respectively. We characterized the transmission properties of the devices using a butt coupling measurement system. The input light was coupled from a lensed fiber, and the output light was collected a by detector through a microscope objective. We first injected TE polarized light from one of the side cavities and got three transmission spectra from the output ports. Three input ports are named In1, In2, and In3, and three output ports are named Out1, Out2, and Out3. A set of typical spectra of the triple-coupled cavities are shown in Fig. 2(a), where the lattice constant is a=312nm, the gaps of three cavities being Dy=140nm, and Dx=0. The spectra have been normalized by the band-edge modes, i.e., with the same normalization method as in Ref. [34].

 figure: Fig. 2.

Fig. 2. Transmission spectra (normalized by the band-edge modes) from three output ports when TE polarized light is injected from (a) one of the side cavity and (b) the middle cavity.

Download Full Size | PDF

From Out1, three high Q resonances were revealed at 1576.27, 1582.42, and 1586.85 nm, of which the Q-factors were 78813, 63297, and 58772, respectively, i.e., of the same order of magnitude with those obtained using finite-difference time-domain (FDTD) simulation. Simultaneously, the reported transmission levels significantly exceed previously reported levels in the PM [27]. The transmission spectrum from Out3 is similar to Out1, due to the horizontal symmetric configuration of the PM. From Out2, only two resonances were achieved at 1576.27 and 1586.9 nm wavelengths, respectively, clearly indicating the existence of a dark-state electric field mode in the middle cavity. In previous works, triple-cavity PM were analyzed by solving eigenvalues and eigenvectors of the Hamiltonian matrix based on coupled mode theory [35], and the electric field intensity of one of the three modes indeed cancels when the vertical distances satisfy the condition of a strong enough coupling among the three cavities. More specifically, the three coupled cavities’ dark mode was revealed at λ=1582.42nm in the carried out experiments. We stress that the spectral signature of this mode presented a robust frequency behavior, no matter how the configurations of the three cavities changed from experimental device to device, as theoretically reported earlier [28]. Its transmission, which is quite unique, therefore, leads to possible applications such as for optical self-filtering FWM systems or pump signal rejection [27]. Moreover, the dark-state behavior reveals interest for the realization of active components [23,25] based on DM enhanced photoluminescence, optical gain concepts, or dark-state lasing [36,37].

Then, we injected TE polarized light from the middle cavity and collected the three transmission spectra, which are shown in Fig. 2(b). Interestingly, only two resonances could be achieved, which means that only the FM and EM could be excited by the middle waveguide cavity injection, i.e., consistently with the DM spatial field occupation.

3. STATIC WAVELENGTH TUNING AND DEGENERACY MODE

The supermodes resonance wavelengths could be extracted from the transmission spectra, as shown in Fig. 3. We analyzed two groups of devices and their resonant wavelengths. In the first group, the measurements of six devices [see the circle marks in Fig. 3(a)] indicate that increasing the gaps of three cavities makes three resonances get closer. Compared to our simulation results [see the curves in Fig. 3(a)], the tuning tendency of the three modes are in good agreement with the prediction made in Ref. [28], which was verified both by three-dimensional (3D) FDTD and tight-binding methods. In the second group, longitudinally shifting the middle cavity leads the resonance wavelengths of two of the supermodes to periodically oscillate with a periodicity equal to the constituting 1D PhC lattice constant. The circle marks in Fig. 3(b) show the measurements of eight devices with different Dx, and the solid line curves show the semi-analytical results coming from the tight-binding method. As shown, experimental results keep pace with simulation.

 figure: Fig. 3.

Fig. 3. Experimental and theoretical results of the supermode wavelengths in the triple nanobeam cavity system. The blue, red, and yellow marks represent the fundamental, dark, and excited supermodes, respectively. (a) Controlling the lateral distance between the central and side cavities (a=306nm, Dx=0). (b) Longitudinally shifting the middle nanobeam cavity (a=300nm, Dy=140nm).

Download Full Size | PDF

Here, it is worth mentioning that several degeneracy points periodically appear when Dx is equal to the odd times of a/2. Figure 4(a) presents an experimental result for a typical degeneracy transmission spectrum. Dx is then 152 nm (half of lattice constant 306 nm), while other parameters are set to Dy=200nm. We injected TE polarized light from one side cavity and collected the light from three output ports. Only one resonance was observed in all transmission spectra from three output ports. The injected light power is 1 mW, while the received band-edge mode power is around 40dBm due to the insertion loss of the butt measurement system and waveguide side-wall roughness. The single resonance was monitored at around 1556 nm with a Q factor around 73,000 and a very high transmission level of around 70%. To the best of our knowledge, this is the first experimental report of such a large dark three-cavity PM degenerate mode transmission [38,39].

 figure: Fig. 4.

Fig. 4. (a) Transmission spectrum of the degeneracy mode (degeneracy points appear when Dx=a/2). (b) Comparison between degeneracy point Dx=150nm and Dx=300nm in 3D-FDTD simulation (a=300nm, extra holes were removed to reduce the simulation time).

Download Full Size | PDF

4. PROMISES FOR FWM APPLICATIONS

Triple-cavity devices were successfully considered in degenerate FWM (DFWM) experiments [20,27] with three resonance modes called the pump, signal, and idler modes of wavelengths λp, λs, and λi, respectively. Due to the supermodes splitting effect, the difference between the three resonance wavelengths is supposed to naturally meet the requirement of DFWM, i.e., λpλs=λiλp. Considering the specific system of the three coupled nanobeam cavities shown in Fig. 1(a), we carefully analyzed and calculated the nonlinear overlaps among the three involved supermodes, and the theoretical results indicated the controllable nonlinear properties in this flexible system [28]. In order to obtain high efficiency nonlinear conversion, high Q modes and large nonlinear overlaps are generally required at the same time, but the realization of high Qs somewhat contradicts the respect of the FWM phase matching frequency condition because each narrow-band resonance can be subject to a spectral shift that the design step did not foresee because it is related to fabrication imperfections. As shown in Fig. 2, we report here high Q factors around 80,000, i.e., around 10 times higher than those reported in previous works [27]. We show just below that post-trimming of the cavities effectively allows the exploitation of PM cavities with such intermediate Q factors (50,000–100,000).

We define the frequency mismatch as Δω=2ωpωsωi. Basically, the mismatch frequency tolerance can be expressed as Δωωp/Qp [40,41] (Δω should be lower than 0.0025 THz in our case). Considering the possible technological approaches, pre- and post-fabrication compensation methods can be envisaged. In pre-fabrication approaches, adjusting individually the resonance frequency by varying the geometrical parameters such as hole sizes, lattice constants, and nanobeam widths are possible approaches, which are yet not very easy to implement with a high yield and reproducibility. As a matter of example, we explore here varying the hole sizes of the middle cavity in order to tune the frequency mismatch. As shown in Fig. 5(a), we verified the relationship between the resonance frequencies and the hole sizes by using 3D-FDTD. Ten pairs of extra mirrors were first removed to reduce the time consumption of simulations. In fact, the hole radii of the middle cavity decrease linearly from the center (Rm1) to the side (Rm16), and Rm16 (radius value of the 16th hole) was fixed to 73 nm. Still, we injected TE polarized light from one side cavity and collected light from three output ports. The calculation of the transmission spectra then showed that Δω could be tuned by adjusting Rm1 with a sensitivity of 0.2611THz/nm (Rm1 of 98 nm/100 nm/102 nm leading to Δω 0.57 THz/0.09 THz/0.45THz). However, this passive method cannot avoid a series of trials to get the target frequency due to the random fabrication errors. Post-fabrication methods, on the contrary, provide a path to reduce the mismatch frequency issue. For instance, post-trimming methods [4244], either based on electromechanical control [45] or thermal control [4648], can be applied. Figure 5(b) shows that an index variation of the middle cavity (or both side cavities) can lead to a variation of the frequency difference between the three resonances. Transmission spectra show that Δω can be tuned by a local Δneff of around 49.5 THz/RIU. Increasing neff of the middle cavity makes Δω increase, while increasing neff of side cavities makes Δω decrease.

 figure: Fig. 5.

Fig. 5. (a) Pre-fabrication method for adjusting the resonance frequency by varying Rm1 (hole radii of the middle cavity decrease linearly from the center Rm1 to the side Rm16, and Rm16 was fixed to 73 nm). (b) Post-fabrication method for resonances tuning by effective index. (c) Left, relationship between Δω and Rm1; right, relationship between Δω and Δneff of the middle cavity.

Download Full Size | PDF

Specifically, precisely controlling the cladding index on the top of the middle cavity can rectify the frequency mismatch, while precisely controlling the temperature difference between the middle and side cavities can reach the target as well. Post-trimming tuning of the PM could be done, for example, by replacing the top cladding of the middle cavity with some specific liquid, or making the thickness of the top cladding vary through controlled electron beam exposure [42] or laser assisted oxidation [43]. Another solution could be a dynamical wavelength control based on thermal control of the coupled cavity system, where the solution would even be more flexible for practical use. Nanotentacles (NT) assisted nanobeam cavities could be designed to control the index of side cavities with a design method similar to the one from Ref. [47].

In this approach, the central cavity would remain unmodified, while the side cavities would be replaced by NT assisted nanobeam cavities. Each side cavity can then consist of the original nanobeam cavity with NT arrays connected with a large slab microheater. Removing the SiO2 cladding while fabricating the nanobeam cavities on a suspended membrane would even increase the thermal efficiency. For our system, we could first pre-shift the central resonance wavelength by shrinking Rm1Rm16 to blue shift the central resonance (Δω>0). Then, heating both side cavities could be applied to locally increase Δneff of the side cavities while increasing and red shifting the central resonance (Δω decrease). As a whole, any residual post-fabrication frequency mismatch problem can be removed to adjust the three resonances to meet the DFWM frequency condition.

5. CONCLUSION

In summary, we propose a triple-coupled nanobeam cavity device, which offers a promising toolbox for on-chip opto-mechanics, optical filtering, nonlinear optics, and sensing. High Q above 60,000 and ultra-high transmission of triple-coupled nanobeam cavities are experimentally realized, and the dark-state mode is unambiguously revealed. Based on theoretical prediction, we study the evolution of the system by tuning the geometrical parameters. The degeneracy mode feature of the three-cavity PM is reported with the highest transmission achieved at that point, which opens interesting perspectives in opto-mechanics and signal processing. This high Q and high transmission coupled cavity system is also promising for high efficient nonlinear optical conversion processes. We analyze the frequency mismatch problem and evaluate several possible approaches based on pre- and post-fabrication methods to compensate any slight resonant frequency difference mismatch coming from fabrication uncertainty processes. We thoroughly discuss possible post-trimming and thermal control methods for tuning the central resonance of the cavity and compensate frequency detuning mismatch between the three consecutive resonances. The compensated structure thus has a strong potential in terms of a triply resonant system for on-chip nonlinear optics.

Funding

Agence Nationale de la Recherche (ANR) (SITQOM); National Natural Science Foundation of China (NSFC) (61535010).

REFERENCES

1. M. Eichenfield, J. Chan, R. M. Camacho, K. J. Vahala, and O. Painter, “Optomechanical crystals,” Nature 462, 78–82 (2009). [CrossRef]  

2. A. H. Safavi-Naeini, T. P. M. Alegre, M. Winger, and O. Painter, “Optomechanics in an ultrahigh-Q two-dimensional photonic crystal cavity,” Appl. Phys. Lett. 97, 181106 (2010). [CrossRef]  

3. C. Husko, A. De Rossi, S. Combrie, Q. V. Tran, F. Raineri, and C. W. Wong, “Ultrafast all-optical modulation in GaAs photonic crystal cavities,” Appl. Phys. Lett. 94, 021111 (2009). [CrossRef]  

4. J. Leuthold, C. Koos, and W. Freude, “Nonlinear silicon photonics,” Nat. Photonics 4, 535–544 (2010). [CrossRef]  

5. M. Soljacić, C. Luo, J. D. Joannopoulos, and S. Fan, “Nonlinear photonic crystal microdevices for optical integration,” Opt. Lett. 28, 637–639 (2003). [CrossRef]  

6. H. Altug, D. Englund, and J. Vučković, “Ultrafast photonic crystal nanocavity laser,” Nat. Phys. 2, 484–488 (2006). [CrossRef]  

7. Y. Takahashi, Y. Inui, M. Chihara, T. Asano, R. Terawaki, and S. Noda, “A micrometre-scale Raman silicon laser with a microwatt threshold,” Nature 498, 470–474 (2013). [CrossRef]  

8. E. Chow, A. Grot, L. W. Mirkarimi, M. Sigalas, and G. Girolami, “Ultracompact biochemical sensor built with two-dimensional photonic crystal microcavity,” Opt. Lett. 29, 1093–1095 (2004). [CrossRef]  

9. W. Zhang, S. Serna, X. Le Roux, L. Vivien, and E. Cassan, “Silicon nanobeam cavity for ultra-localized light-matter interaction,” Opt. Lett. 42, 3323–3326 (2017). [CrossRef]  

10. R. M. Osgood Jr., N. C. Panoiu, J. I. Dadap, X. Liu, X. Chen, I.-W. Hsieh, E. Dulkeith, W. M. Green, and Y. A. Vlasov, “Engineering nonlinearities in nanoscale optical systems: physics and applications in dispersion-engineered silicon nanophotonic wires,” Adv. Opt. Photon. 1, 162–235 (2009). [CrossRef]  

11. M. A. Foster, J. M. Dudley, B. Kibler, Q. Cao, D. Lee, R. Trebino, and A. L. Gaeta, “Nonlinear pulse propagation and supercontinuum generation in photonic nanowires: experiment and simulation,” Appl. Phys. B 81, 363–367 (2005). [CrossRef]  

12. S. Buckley, M. Radulaski, J. L. Zhang, J. Petykiewicz, K. Biermann, and J. Vučković, “Nonlinear frequency conversion using high quality modes in GaAs nanobeam cavities,” Opt. Lett. 39, 5673–5676 (2014). [CrossRef]  

13. J. D. Ryckman, K. A. Hallman, R. E. Marvel, R. F. Haglund, and S. M. Weiss, “Ultra-compact silicon photonic devices reconfigured by an optically induced semiconductor-to-metal transition,” Opt. Express 21, 10753–10763 (2013). [CrossRef]  

14. S. Buckley, M. Radulaski, J. L. Zhang, J. Petykiewicz, K. Biermann, and J. Vučković, “Multimode nanobeam cavities for nonlinear optics: high quality resonances separated by an octave,” Opt. Express 22, 26498–26509 (2014). [CrossRef]  

15. M. Bayer, T. Gutbrod, J. P. Reithmaier, A. Forchel, T. L. Reinecke, P. A. Knipp, A. A. Dremin, and V. D. Kulakovskii, “Optical modes in photonic molecules,” Phys. Rev. Lett. 81, 2582–2585 (1998). [CrossRef]  

16. Y. P. Rakovich and J. F. Donegan, “Photonic atoms and molecules,” Laser Photon. Rev. 4, 179–191 (2010). [CrossRef]  

17. M. A. Popović, T. Barwicz, M. R. Watts, P. T. Rakich, L. Socci, E. P. Ippen, F. X. Kärtner, and H. I. Smith, “Multistage high-order microring-resonator add-drop filter,” Opt. Lett. 31, 2571–2573 (2006). [CrossRef]  

18. S. Xiao, M. H. Khan, H. Shen, and M. Qi, “A highly compact third-order silicon microring add-drop filter with a very large free spectral range, a flat passband and a low delay dispersion,” Opt. Express 15, 14765–14771 (2007). [CrossRef]  

19. M. C. M. M. Souza, G. F. M. Rezende, L. A. M. Barea, A. A. G. von Zuben, G. S. Wiederhecker, and N. C. Frateschi, “Spectral engineering with coupled microcavities: active control of resonant mode-splitting,” Opt. Lett. 40, 3332–3335 (2015). [CrossRef]  

20. X. Zeng, C. M. Gentry, and M. A. Popović, “Four-wave mixing in silicon coupled-cavity resonators with port-selective, orthogonal supermode excitation,” Opt. Lett. 40, 2120–2123 (2015). [CrossRef]  

21. E. Gil-Santos, C. Baker, A. Lemaitre, C. Gomez, S. Ducci, G. Leo, and I. Favero, “High-precision spectral tuning of micro and nanophotonic cavities by resonantly enhanced photoelectrochemical etching,” arXiv:1511.06186 (2015).

22. C. Schmidt, A. Chipouline, T. Käsebier, E.-B. Kley, A. Tünnermann, and T. Pertsch, “Observation of optical coupling in microdisk resonators,” Phys. Rev. A 80, 043841 (2009). [CrossRef]  

23. X. Tu, Y. Wu, and L. J. Guo, “Vertically coupled photonic molecule laser,” Appl. Phys. Lett. 100, 041103 (2012). [CrossRef]  

24. C. Yang, X. Jiang, Q. Hua, S. Hua, Y. Chen, J. Ma, and M. Xiao, “Realization of controllable photonic molecule based on three ultrahigh-Q microtoroid cavities,” Laser Photon. Rev. 11, 1600178 (2017). [CrossRef]  

25. C. Jarlov, K. A. Atlasov, L. Ferrier, M. Calic, P. Gallo, A. Rudra, B. Dwir, and E. Kapon, “1D and 2D arrays of coupled photonic crystal cavities with a site-controlled quantum wire light source,” Opt. Express 21, 31082–31091 (2013). [CrossRef]  

26. A. M. Ivinskaya, A. V. Lavrinenko, D. M. Shyroki, and A. A. Sukhorukov, Single and Coupled Nanobeam Cavities (InTech, 2013).

27. S. Azzini, D. Grassani, M. Galli, D. Gerace, M. Patrini, M. Liscidini, P. Velha, and D. Bajoni, “Stimulated and spontaneous four-wave mixing in silicon-on-insulator coupled photonic wire nano-cavities,” Appl. Phys. Lett. 103, 031117 (2013). [CrossRef]  

28. X. Cui, W. Zhang, S. Serna, C. Alonso-Ramos, D. Marris-Morini, L. Vivien, J.-J. He, and E. Cassan, “Adjusting third-order nonlinear properties in silicon triply resonant nanobeam cavities,” J. Opt. Soc. Am. B 35, 636–642 (2018). [CrossRef]  

29. X. Zeng and M. A. Popović, “Design of triply-resonant microphotonic parametric oscillators based on Kerr nonlinearity,” Opt. Express 22, 15837–15867 (2014). [CrossRef]  

30. F. Li, M. Pelusi, D.-X. Xu, A. Densmore, R. Ma, S. Janz, and D. J. Moss, “Error-free all-optical demultiplexing at 160 Gb/s via FWM in a silicon nanowire,” Opt. Express 18, 3905–3910 (2010). [CrossRef]  

31. M. A. Foster, A. C. Turner, J. E. Sharping, B. S. Schmidt, M. Lipson, and A. L. Gaeta, “Broad-band optical parametric gain on a silicon photonic chip,” Nature 441, 960–963 (2006). [CrossRef]  

32. H. Hu, H. Ji, M. Galili, M. Pu, C. Peucheret, H. C. H. Mulvad, K. Yvind, J. M. Hvam, P. Jeppesen, and L. K. Oxenløwe, “Ultra-high-speed wavelength conversion in a silicon photonic chip,” Opt. Express 19, 19886–19894 (2011). [CrossRef]  

33. Q. Quan and M. Loncar, “Deterministic design of wavelength scale, ultra-high Q photonic crystal nanobeam cavities,” Opt. Express 19, 18529–18542 (2011). [CrossRef]  

34. Q. Quan, P. B. Deotare, and M. Loncar, “Photonic crystal nanobeam cavity strongly coupled to the feeding waveguide,” Appl. Phys. Lett. 96, 203102 (2010). [CrossRef]  

35. C. Yang, Y. Hu, X. Jiang, and M. Xiao, “Analysis of a triple-cavity photonic molecule based on coupled-mode theory,” Phys. Rev. A 95, 033847 (2017). [CrossRef]  

36. C. M. Gentry and M. A. Popović, “Dark state lasers,” Opt. Lett. 39, 4136–4139 (2014). [CrossRef]  

37. H. Hodaei, A. U. Hassan, W. E. Hayenga, M. A. Miri, D. N. Christodoulides, and M. Khajavikhan, “Dark-state lasers: mode management using exceptional points,” Opt. Lett. 41, 3049–3052 (2016). [CrossRef]  

38. P. B. Deotare, I. Bulu, I. W. Frank, Q. Quan, Y. Zhang, R. Ilic, and M. Loncar, “All optical reconfiguration of optomechanical filters,” Nat. Commun. 3, 846 (2012). [CrossRef]  

39. P. B. Deotare, L. C. Kogos, I. Bulu, and M. Loncar, “Photonic crystal nanobeam cavities for tunable filter and router applications,” IEEE J. Sel. Top. Quantum Electron. 19, 3600210 (2013). [CrossRef]  

40. Z.-F. Bi, A. W. Rodriguez, H. Hashemi, D. Duchesne, M. Loncar, K.-M. Wang, and S. G. Johnson, “High-efficiency second-harmonic generation in doubly-resonant χ(2) microring resonators,” Opt. Express 20, 7526–7543 (2012). [CrossRef]  

41. Z. Lin, T. Alcorn, M. Loncar, S. G. Johnson, and A. W. Rodriguez, “High-efficiency degenerate four-wave mixing in triply resonant nanobeam cavities,” Phys. Rev. A 89, 053839 (2014). [CrossRef]  

42. Y. Zhang and Y. Shi, “Post-trimming of photonic crystal nanobeam cavities by controlled electron beam exposure,” Opt. Express 24, 12542–12548 (2016). [CrossRef]  

43. C. J. Chen, J. Zheng, T. Gu, J. F. McMillan, M. Yu, G.-Q. Lo, D.-L. Kwong, and C. W. Wong, “Selective tuning of high-Q silicon photonic crystal nanocavities via laser-assisted local oxidation,” Opt. Express 19, 12480–12489 (2011). [CrossRef]  

44. F. Intonti, N. Caselli, S. Vignolini, F. Riboli, S. Kumar, A. Rastelli, O. G. Schmidt, M. Francardi, A. Gerardino, L. Balet, L. H. Li, A. Fiore, and M. Gurioli, “Mode tuning of photonic crystal nanocavities by photoinduced non-thermal oxidation,” Appl. Phys. Lett. 100, 033116(2012). [CrossRef]  

45. P. Shi, G. Zhou, J. Deng, F. Tian, and F. S. Chau, “Tuning all-optical analog to electromagnetically induced transparency in nanobeam cavities using nanoelectromechanical system,” Sci. Rep. 5, 14379 (2015). [CrossRef]  

46. W. S. Fegadolli, N. Pavarelli, P. O’Brien, S. Njoroge, V. R. Almeida, and A. Scherer, “Thermally controllable silicon photonic crystal nanobeam cavity without surface cladding for sensing applications,” ACS Photon. 2, 470–474 (2015). [CrossRef]  

47. J. Zhang and S. He, “Cladding-free efficiently tunable nanobeam cavity with nanotentacles,” Opt. Express 25, 12541–12551 (2017). [CrossRef]  

48. Y. Zhang, Y. He, Q. Zhu, X. Guo, C. Qiu, Y. Su, and R. Soref, “Single-resonance silicon nanobeam filter with an ultra-high thermo-optic tuning efficiency over a wide continuous tuning range,” Opt. Lett. 43, 4518–4521 (2018). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (5)

Fig. 1.
Fig. 1. (a) SEM views of triple-coupled nanobeam cavities. Cavities are connected to the external strip waveguide, among which the distances are increased after the cavity region. (b) The three supermodes’ field maps of the system, FM/DM/EM, with resonance wavelengths of 1576.95 nm/1568.29 nm/1560.69 nm and Q factors of 38,307/63,826/115,347 (simulation was carried out using 3D-FDTD with a = 312 nm , W = 700 nm , D y = 100 nm , D x = 0 nm ) [28].
Fig. 2.
Fig. 2. Transmission spectra (normalized by the band-edge modes) from three output ports when TE polarized light is injected from (a) one of the side cavity and (b) the middle cavity.
Fig. 3.
Fig. 3. Experimental and theoretical results of the supermode wavelengths in the triple nanobeam cavity system. The blue, red, and yellow marks represent the fundamental, dark, and excited supermodes, respectively. (a) Controlling the lateral distance between the central and side cavities ( a = 306 nm , D x = 0 ). (b) Longitudinally shifting the middle nanobeam cavity ( a = 300 nm , D y = 140 nm ).
Fig. 4.
Fig. 4. (a) Transmission spectrum of the degeneracy mode (degeneracy points appear when D x = a / 2 ). (b) Comparison between degeneracy point D x = 150 nm and D x = 300 nm in 3D-FDTD simulation ( a = 300 nm , extra holes were removed to reduce the simulation time).
Fig. 5.
Fig. 5. (a) Pre-fabrication method for adjusting the resonance frequency by varying R m 1 (hole radii of the middle cavity decrease linearly from the center R m 1 to the side R m 16 , and R m 16 was fixed to 73 nm). (b) Post-fabrication method for resonances tuning by effective index. (c) Left, relationship between Δ ω and R m 1 ; right, relationship between Δ ω and Δ n eff of the middle cavity.
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.